OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [trunk/] [hw/] [layout/] [xdc/] [project_n1_p.sdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 kuzmi4
# clk
2
set_property LOC AD12 [ get_ports sys_diff_clock_clk_p]
3
set_property IOSTANDARD DIFF_SSTL15 [ get_ports sys_diff_clock_clk_p]
4
# rst
5
set_property LOC AB7 [ get_ports glbl_rst]
6
set_property IOSTANDARD LVCMOS15 [ get_ports glbl_rst]
7
# led
8
set_property LOC AB8 [ get_ports led_8bits_tri_o[0]]
9
set_property IOSTANDARD LVCMOS15 [ get_ports led_8bits_tri_o[0]]
10
 
11
set_property LOC AA8 [ get_ports led_8bits_tri_o[1]]
12
set_property IOSTANDARD LVCMOS15 [ get_ports led_8bits_tri_o[1]]
13
 
14
set_property LOC AC9 [ get_ports led_8bits_tri_o[2]]
15
set_property IOSTANDARD LVCMOS15 [ get_ports led_8bits_tri_o[2]]
16
 
17
set_property LOC AB9 [ get_ports led_8bits_tri_o[3]]
18
set_property IOSTANDARD LVCMOS15 [ get_ports led_8bits_tri_o[3]]
19
 
20
set_property LOC AE26 [ get_ports led_8bits_tri_o[4]]
21
set_property IOSTANDARD LVCMOS25 [ get_ports led_8bits_tri_o[4]]
22
 
23
set_property LOC G19 [ get_ports led_8bits_tri_o[5]]
24
set_property IOSTANDARD LVCMOS25 [ get_ports led_8bits_tri_o[5]]
25
 
26
set_property LOC E18 [ get_ports led_8bits_tri_o[6]]
27
set_property IOSTANDARD LVCMOS25 [ get_ports led_8bits_tri_o[6]]
28
 
29
set_property LOC F16 [ get_ports led_8bits_tri_o[7]]
30
set_property IOSTANDARD LVCMOS25 [ get_ports led_8bits_tri_o[7]]
31
# uart
32
set_property LOC M19 [ get_ports rs232_uart_rxd]
33
set_property IOSTANDARD LVCMOS25 [ get_ports rs232_uart_rxd]
34
 
35
set_property LOC K24 [ get_ports rs232_uart_txd]
36
set_property IOSTANDARD LVCMOS25 [ get_ports rs232_uart_txd]
37
# PHY-MDIO
38
set_property PACKAGE_PIN R23      [get_ports mdc]
39
set_property IOSTANDARD  LVCMOS25 [get_ports mdc]
40
set_property PACKAGE_PIN J21      [get_ports mdio]
41
set_property IOSTANDARD  LVCMOS25 [get_ports mdio]
42
# PHY-RGMII
43
set_property PACKAGE_PIN U28      [get_ports rgmii_rxd[3]]
44
set_property PACKAGE_PIN T25      [get_ports rgmii_rxd[2]]
45
set_property PACKAGE_PIN U25      [get_ports rgmii_rxd[1]]
46
set_property PACKAGE_PIN U30      [get_ports rgmii_rxd[0]]
47
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rxd[3]]
48
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rxd[2]]
49
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rxd[1]]
50
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rxd[0]]
51
 
52
set_property PACKAGE_PIN L28      [get_ports rgmii_txd[3]]
53
set_property PACKAGE_PIN M29      [get_ports rgmii_txd[2]]
54
set_property PACKAGE_PIN N25      [get_ports rgmii_txd[1]]
55
set_property PACKAGE_PIN N27      [get_ports rgmii_txd[0]]
56
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_txd[3]]
57
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_txd[2]]
58
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_txd[1]]
59
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_txd[0]]
60
 
61
set_property PACKAGE_PIN M27      [get_ports rgmii_tx_ctl]
62
set_property PACKAGE_PIN K30      [get_ports rgmii_txc]
63
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_tx_ctl]
64
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_txc]
65
 
66
set_property PACKAGE_PIN R28      [get_ports rgmii_rx_ctl]
67
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rx_ctl]
68
 
69
set_property PACKAGE_PIN U27      [get_ports rgmii_rxc]
70
set_property IOSTANDARD  LVCMOS25 [get_ports rgmii_rxc]
71
# PHY-RST
72
set_property PACKAGE_PIN L20      [get_ports phy_resetn]
73
set_property IOSTANDARD  LVCMOS25 [get_ports phy_resetn]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.