OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [trunk/] [hw/] [src/] [rtl/] [tri_mode_emac/] [src/] [support/] [tri_mode_ethernet_mac_0_support_clocking.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 kuzmi4
//----------------------------------------------------------------------------
2
// File       : tri_mode_ethernet_mac_0_support_clocking.v
3
// Author     : Xilinx Inc.
4
// -----------------------------------------------------------------------------
5
// (c) Copyright 2013 Xilinx, Inc. All rights reserved.
6
//
7
// This file contains confidential and proprietary information
8
// of Xilinx, Inc. and is protected under U.S. and
9
// international copyright and other intellectual property
10
// laws.
11
//
12
// DISCLAIMER
13
// This disclaimer is not a license and does not grant any
14
// rights to the materials distributed herewith. Except as
15
// otherwise provided in a valid license issued to you by
16
// Xilinx, and to the maximum extent permitted by applicable
17
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
18
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
19
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
20
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
21
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
22
// (2) Xilinx shall not be liable (whether in contract or tort,
23
// including negligence, or under any other theory of
24
// liability) for any loss or damage of any kind or nature
25
// related to, arising under or in connection with these
26
// materials, including for any direct, or any indirect,
27
// special, incidental, or consequential loss or damage
28
// (including loss of data, profits, goodwill, or any type of
29
// loss or damage suffered as a result of any action brought
30
// by a third party) even if such damage or loss was
31
// reasonably foreseeable or Xilinx had been advised of the
32
// possibility of the same.
33
//
34
// CRITICAL APPLICATIONS
35
// Xilinx products are not designed or intended to be fail-
36
// safe, or for use in any application requiring fail-safe
37
// performance, such as life-support or safety devices or
38
// systems, Class III medical devices, nuclear facilities,
39
// applications related to the deployment of airbags, or any
40
// other applications that could lead to death, personal
41
// injury, or severe property or environmental damage
42
// (individually and collectively, "Critical
43
// Applications"). Customer assumes the sole risk and
44
// liability of any use of Xilinx products in Critical
45
// Applications, subject only to applicable laws and
46
// regulations governing limitations on product liability.
47
//
48
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
49
// PART OF THIS FILE AT ALL TIMES. 
50
// -----------------------------------------------------------------------------
51
//
52
//----------------------------------------------------------------------------
53
// Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
54
// Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
55
//----------------------------------------------------------------------------
56
// clk_out1   125.000      0.000      50.0       91.364     85.928 
57
// clk_out2   125.000     90.000      50.0       70.716     85.928
58
//
59
//----------------------------------------------------------------------------
60
// Input Clock   Input Freq (MHz)   Input Jitter (UI)
61
//----------------------------------------------------------------------------
62
// primary         125.000            0.010
63
 
64
`timescale 1ns / 1ps
65
 
66
//***************************** Entity Declaration ****************************
67
module tri_mode_ethernet_mac_0_support_clocking (
68
  // Clock in ports
69
  input         clk_in1,
70
  // Clock out ports
71
  output        clk_out1,
72
  output        clk_out2,
73
  // Status and control signals
74
  input         reset,
75
  output        locked
76
 );
77
 
78
// Clocking primitive
79
  //------------------------------------
80
  // Instantiation of the MMCM primitive
81
  //    * Unused inputs are tied off
82
  //    * Unused outputs are labeled unused
83
  wire [15:0] do_unused;
84
  wire        drdy_unused;
85
  wire        psdone_unused;
86
  wire        clkfbout;
87
  wire        clkfboutb_unused;
88
  wire        clkout0b_unused;
89
  wire        clkout1b_unused;
90
  wire        clkout2b_unused;
91
  wire        clkout3_unused;
92
  wire        clkout3b_unused;
93
  wire        clkout4_unused;
94
  wire        clkout5_unused;
95
  wire        clkout6_unused;
96
  wire        clkfbstopped_unused;
97
  wire        clkinstopped_unused;
98
 
99
    MMCME2_ADV
100
 
101
  #(.BANDWIDTH            ("OPTIMIZED"),
102
    .COMPENSATION         ("ZHOLD"),
103
    .DIVCLK_DIVIDE        (1),
104
    .CLKFBOUT_MULT_F      (5.000),
105
    .CLKFBOUT_PHASE       (0.000),
106
    .CLKOUT0_DIVIDE_F     (5.000),
107
    .CLKOUT0_PHASE        (0.000),
108
    .CLKOUT0_DUTY_CYCLE   (0.500),
109
    .CLKOUT1_DIVIDE       (5),
110
    .CLKOUT1_PHASE        (90.000),
111
    .CLKOUT1_DUTY_CYCLE   (0.500),
112
    .CLKOUT2_DIVIDE       (5),
113
    .CLKOUT2_PHASE        (0.000),
114
    .CLKOUT2_DUTY_CYCLE   (0.500),
115
    .CLKIN1_PERIOD        (8.000),
116
    .REF_JITTER1          (0.010))
117
  mmcm_adv_inst
118
    // Output clocks
119
   (.CLKFBOUT            (clkfbout),
120
    .CLKFBOUTB           (clkfboutb_unused),
121
    .CLKOUT0             (clkout0),
122
    .CLKOUT0B            (clkout0b_unused),
123
    .CLKOUT1             (clkout1),
124
    .CLKOUT1B            (clkout1b_unused),
125
    .CLKOUT2             (clkout2),
126
    .CLKOUT2B            (clkout2b_unused),
127
    .CLKOUT3             (clkout3_unused),
128
    .CLKOUT3B            (clkout3b_unused),
129
    .CLKOUT4             (clkout4_unused),
130
    .CLKOUT5             (clkout5_unused),
131
    .CLKOUT6             (clkout6_unused),
132
     // Input clock control
133
    .CLKFBIN             (clkfbout),
134
    .CLKIN1              (clk_in1),
135
    .CLKIN2              (1'b0),
136
     // Tied to always select the primary input clock
137
    .CLKINSEL            (1'b1),
138
    // Ports for dynamic reconfiguration
139
    .DADDR               (7'h0),
140
    .DCLK                (1'b0),
141
    .DEN                 (1'b0),
142
    .DI                  (16'h0),
143
    .DO                  (do_unused),
144
    .DRDY                (drdy_unused),
145
    .DWE                 (1'b0),
146
    // Ports for dynamic phase shift
147
    .PSCLK               (1'b0),
148
    .PSEN                (1'b0),
149
    .PSINCDEC            (1'b0),
150
    .PSDONE              (psdone_unused),
151
 
152
    // Other control and status signals
153
    .LOCKED              (locked),
154
    .CLKINSTOPPED        (clkinstopped_unused),
155
    .CLKFBSTOPPED        (clkfbstopped_unused),
156
    .PWRDWN              (1'b0),
157
    .RST                 (reset));
158
 
159
  // Output buffering
160
  //-----------------------------------
161
 
162
  BUFGCE clkout1_buf
163
   (.O   (clk_out1),
164
    .CE  (1'b1),
165
    .I   (clkout0));
166
 
167
  BUFGCE clkout2_buf
168
   (.O   (clk_out2),
169
    .CE  (1'b1),
170
    .I   (clkout1));
171
 
172
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.