OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [P6809/] [automake.log] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ale500
 
2
synpwrap -prj "P6809_P6809_synplify.tcl" -log "P6809_P6809.srf"
3
 
4
*****************************************************************
5
 
6
Warning: You are running on an unsupported platform
7
  'synplify_pro' only supports Red Hat Enterprise Linux 4.0 and above
8
 
9
  current platform: CentOS release 6.4 (Final)
10
Kernel \r on an \m
11
 
12
*****************************************************************
13
 
14
Running in Lattice mode
15
 
16
 
17
Starting:    /usr/local/diamond/2.2_x64/synpbase/linux_a_64/mbin/synbatch
18
Install:     /usr/local/diamond/2.2_x64/synpbase
19 5 ale500
Date:        Tue Dec 31 08:52:23 2013
20 4 ale500
Version:     G-2012.09L-SP1
21
 
22
Arguments:   -product synplify_pro  -batch P6809_P6809_synplify.tcl
23
ProductType: synplify_pro
24
 
25
 
26
 
27
 
28
 
29
 
30
log file: "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr"
31
 
32
 
33
Running proj_1|P6809
34
 
35
Running Compile on proj_1|P6809
36
 
37
Running Compile Process on proj_1|P6809
38
 
39
Running Compile Input on proj_1|P6809
40
 
41
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/synwork/P6809_P6809_compiler.srs to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srs
42
 
43
compiler Completed with warnings
44
Return Code: 1
45 5 ale500
Run Time:00h:00m:03s
46 4 ale500
 
47
 
48
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
49
 
50
Job Compile Process completed on proj_1|P6809
51
 
52
Running Premap on proj_1|P6809
53
 
54
premap Completed with warnings
55
Return Code: 1
56 5 ale500
Run Time:00h:00m:01s
57 4 ale500
 
58
 
59
Job Compile completed on proj_1|P6809
60
 
61
Running Map on proj_1|P6809
62
 
63
Running Map & Optimize on proj_1|P6809
64
 
65
fpga_mapper Completed with warnings
66
Return Code: 1
67 5 ale500
Run Time:00h:00m:19s
68 4 ale500
 
69
 
70
Job Map completed on proj_1|P6809
71
 
72
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
73
 
74
Job Logic Synthesis completed on proj_1|P6809
75
 
76
TCL script complete: "P6809_P6809_synplify.tcl"
77
 
78
exit status=0
79
 
80
 
81
Copyright (C) 1992-2013 Lattice Semiconductor Corporation. All rights reserved.
82
Lattice Diamond Version 2.2.0.101
83
Child process exit with 0.
84
 
85
==contents of P6809_P6809.srf
86
#Build: Synplify Pro G-2012.09L-SP1 , Build 029R, Mar 11 2013
87
#install: /usr/local/diamond/2.2_x64/synpbase
88
#OS: Linux
89
#Hostname: node01.pacito.sys
90
 
91
#Implementation: P6809
92
 
93
$ Start of Compile
94 5 ale500
#Tue Dec 31 08:52:23 2013
95 4 ale500
 
96
Synopsys Verilog Compiler, version comp201209rcp1, Build 271R, built Mar 11 2013
97
@N|Running in 64-bit mode
98
Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
99
 
100
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/machxo2.v"
101
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/pmi_def.v"
102
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/umr_capim.v"
103
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_objects.v"
104
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_pipes.svh"
105
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/hypermods.v"
106
@I::"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v"
107
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v"
108
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v"
109
@I:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/defs.v"
110
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v"
111
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v"
112
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v"
113
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v"
114
Verilog syntax check successful!
115 5 ale500
File /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v changed - recompiling
116 4 ale500
Selecting top level module CC3_top
117
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":13:7:13:11|Synthesizing module alu16
118
 
119
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":500:0:500:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
120
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":7:7:7:14|Synthesizing module regblock
121
 
122 5 ale500
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":244:0:244:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
123 4 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":9:7:9:17|Synthesizing module decode_regs
124
 
125 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":131:7:131:15|Synthesizing module decode_op
126 4 ale500
 
127 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":259:7:259:15|Synthesizing module decode_ea
128 4 ale500
 
129 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":285:7:285:16|Synthesizing module decode_alu
130 4 ale500
 
131 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":358:7:358:20|Synthesizing module test_condition
132 4 ale500
 
133
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":10:7:10:16|Synthesizing module MC6809_cpu
134
 
135 5 ale500
@N: CG793 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":429:6:429:13|Ignoring system task $display
136
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":967:0:967:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
137
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal next_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
138
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal next_push_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
139
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal next_mem_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
140
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_write_tfr -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
141
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_write_post_incdec -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
142
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_write_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
143
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_write_exg -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
144
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_write_dest -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
145
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_set_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
146
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_pp_regs[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
147
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_pp_active_reg[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
148
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_postbyte[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
149
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_p3_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
150
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_p2_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
151
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_opcode[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
152
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_ofslo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
153
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_ofshi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
154
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_memlo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
155
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_memhi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
156
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_mem_dest[1:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
157
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_ind_ea[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
158
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_inc_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
159
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_inc_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
160
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_forced_mem_size -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
161
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_ealo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
162
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_eahi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
163
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_dec_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
164
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_cpu_we -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
165
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_cpu_oe -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
166
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_cpu_data_o[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
167
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_cpu_addr[15:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
168
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Feedback mux created for signal k_clear_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
169
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Register bit k_mem_dest[0] is always 1, optimizing ...
170
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Register bit k_mem_dest[1] is always 0, optimizing ...
171
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Register bit next_mem_state[1] is always 0, optimizing ...
172
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Register bit next_mem_state[2] is always 0, optimizing ...
173
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Pruning register bits 2 to 1 of next_mem_state[5:0]
174 4 ale500
 
175
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1120:7:1120:9|Synthesizing module VHI
176
 
177
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1291:7:1291:11|Synthesizing module DP8KC
178
 
179
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1124:7:1124:9|Synthesizing module VLO
180
 
181
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":8:7:8:12|Synthesizing module bios2k
182
 
183
@W: CL168 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":28:8:28:21|Pruning instance scuba_vhi_inst -- not in use ...
184
 
185
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":10:7:10:13|Synthesizing module CC3_top
186
 
187
@W: CG133 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":31:14:31:21|No assignment to clk_div2
188
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|No assignment to wire cpu1_addr_o
189
 
190
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:40:37:51|No assignment to wire cpu1_data_in
191
 
192
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|No assignment to wire cpu1_data_out
193
 
194
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:23:38:29|No assignment to wire cpu1_we
195
 
196
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:32:38:38|No assignment to wire cpu1_oe
197
 
198
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|*Input cpu1_data_out[7:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
199
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|*Input cpu1_addr_o[10:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
200 5 ale500
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Pruning register bits 5 to 2 of next_push_state[5:0]
201 4 ale500
 
202 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":362:18:362:20|Input port bits 7 to 4 of CCR[7:0] are unused
203 4 ale500
 
204 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":287:18:287:26|Input port bits 5 to 4 of postbyte0[7:0] are unused
205 4 ale500
 
206 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":260:18:260:27|Input port bits 6 to 5 of eapostbyte[7:0] are unused
207 4 ale500
 
208
@END
209
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
210 5 ale500
# Tue Dec 31 08:52:25 2013
211 4 ale500
 
212
###########################################################]
213
Premap Report
214
 
215
Synopsys Lattice Technology Pre-mapping, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
216
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
217
Product Version G-2012.09L-SP1
218
 
219
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
220
 
221
@L: /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt
222
Printing clock  summary report in "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt" file
223
@N: MF248 |Running in 64-bit mode.
224
@N: MF666 |Clock conversion enabled
225
 
226 5 ale500
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 94MB peak: 95MB)
227 4 ale500
 
228
 
229 5 ale500
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 94MB peak: 95MB)
230 4 ale500
 
231
 
232
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 109MB)
233
 
234
 
235
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 111MB)
236
 
237
 
238
 
239
Clock Summary
240
**************
241
 
242
Start                             Requested     Requested     Clock                              Clock
243
Clock                             Frequency     Period        Type                               Group
244
--------------------------------------------------------------------------------------------------------------------
245
CC3_top|clk40_i                   1.0 MHz       1000.000      inferred                           Inferred_clkgroup_0
246
CC3_top|cpu_clk_derived_clock     1.0 MHz       1000.000      derived (from CC3_top|clk40_i)     Inferred_clkgroup_0
247
====================================================================================================================
248
 
249
@W: MT529 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":74:10:74:21|Found inferred clock CC3_top|clk40_i which controls 1 sequential elements including bios.bios2k_0_0_1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
250
 
251
syn_allowed_resources : blockrams=26  set on top level netlist CC3_top
252
Finished Pre Mapping Phase.Pre-mapping successful!
253
 
254
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 136MB)
255
 
256
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
257 5 ale500
# Tue Dec 31 08:52:27 2013
258 4 ale500
 
259
###########################################################]
260
Map & Optimize Report
261
 
262
Synopsys Lattice Technology Mapper, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
263
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
264
Product Version G-2012.09L-SP1
265
 
266
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
267
 
268
@N: MF248 |Running in 64-bit mode.
269
@N: MF666 |Clock conversion enabled
270
 
271
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
272
 
273
 
274
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
275
 
276
 
277
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 106MB)
278
 
279
 
280
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 108MB)
281
 
282
 
283
 
284
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
285
 
286
 
287
Available hyper_sources - for debug and ip models
288
        None Found
289
 
290
 
291
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
292
 
293 5 ale500
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_firq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
294
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_irq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
295
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_nmi[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
296
@N:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Found counter in view:work.regblock(verilog) inst PC[15:0]
297
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_firq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
298
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_irq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
299
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance k_reg_nmi[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
300 4 ale500
 
301 5 ale500
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 156MB peak: 157MB)
302 4 ale500
 
303 5 ale500
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance cpu0.k_reg_firq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
304
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance cpu0.k_reg_irq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
305
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Removing sequential instance cpu0.k_reg_nmi[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
306 4 ale500
 
307 5 ale500
Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 149MB peak: 160MB)
308 4 ale500
 
309
 
310
 
311 5 ale500
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 148MB peak: 162MB)
312 4 ale500
 
313 5 ale500
@N: FA113 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":24:22:24:42|Pipelining module result_size
314
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register regq8[7:0] pushed in.
315 4 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register regq16[15:0] pushed in.
316 5 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register hflag pushed in.
317
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register intff pushed in.
318 4 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register reg_z_in pushed in.
319
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register reg_n_in pushed in.
320 5 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register vff pushed in.
321
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register cff pushed in.
322
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":299:0:299:5|Register k_memlo[7:0] pushed in.
323
@N: FA113 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":228:2:228:3|Pipelining module un1_old_su_1[15:0]
324
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register SS[15:0] pushed in.
325
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register DP[7:0] pushed in.
326
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register ACCB[7:0] pushed in.
327
@N: MF169 :|Register NoName pushed in.
328
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register IX[15:0] pushed in.
329
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register IY[15:0] pushed in.
330
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register SU[15:0] pushed in.
331
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register regq16[15:0] pushed in.
332
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register zff pushed in.
333
@N: FX404 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":264:2:264:5|Found addmux in view:work.CC3_top(verilog) inst cpu0.alu.k_new_pc_4[15:0] from cpu0.un1_regs_o_pc[15:0]
334 4 ale500
 
335 5 ale500
Starting Early Timing Optimization (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 152MB peak: 162MB)
336 4 ale500
 
337
 
338 5 ale500
Finished Early Timing Optimization (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 152MB peak: 162MB)
339 4 ale500
 
340
 
341 5 ale500
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 151MB peak: 162MB)
342 4 ale500
 
343
 
344 5 ale500
Finished preparing to map (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:13s; Memory used current: 149MB peak: 162MB)
345 4 ale500
 
346
 
347 5 ale500
Finished technology mapping (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:16s; Memory used current: 191MB peak: 228MB)
348 4 ale500
 
349
Pass             CPU time               Worst Slack             Luts / Registers
350
------------------------------------------------------------
351
Pass             CPU time               Worst Slack             Luts / Registers
352
------------------------------------------------------------
353
------------------------------------------------------------
354
 
355
 
356 5 ale500
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:16s; Memory used current: 166MB peak: 228MB)
357 4 ale500
 
358
@N: FX164 |The option to pack flops in the IOB has not been specified
359
 
360 5 ale500
Finished restoring hierarchy (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:17s; Memory used current: 167MB peak: 228MB)
361 4 ale500
 
362
 
363
 
364
#### START OF CLOCK OPTIMIZATION REPORT #####[
365
 
366 5 ale500
1 non-gated/non-generated clock tree(s) driving 577 clock pin(s) of sequential element(s)
367 4 ale500
 
368 5 ale500
223 @K:conv_instances converted, 0 sequential instances remain driven by gated/generated clocks
369 4 ale500
 
370
=========================== Non-Gated/Non-Generated Clocks ============================
371
Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance
372
---------------------------------------------------------------------------------------
373 5 ale500
@K:CKID0001       clk40_i             port                   577        cpu_clk
374 4 ale500
=======================================================================================
375
===== Gated/Generated Clocks =====
376
************** None **************
377
----------------------------------
378
==================================
379
 
380
 
381
##### END OF CLOCK OPTIMIZATION REPORT ######]
382
 
383
Writing Analyst data base /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srm
384
 
385 5 ale500
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:17s; Memory used current: 169MB peak: 228MB)
386 4 ale500
 
387
Writing EDIF Netlist and constraint files
388
G-2012.09L-SP1
389
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
390
 
391 5 ale500
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:18s; CPU Time elapsed 0h:00m:18s; Memory used current: 173MB peak: 228MB)
392 4 ale500
 
393
@W: MT420 |Found inferred clock CC3_top|clk40_i with period 1000.00ns. Please declare a user-defined clock on object "p:clk40_i"
394
 
395
 
396
 
397
##### START OF TIMING REPORT #####[
398 5 ale500
# Timing Report written on Tue Dec 31 08:52:45 2013
399 4 ale500
#
400
 
401
 
402
Top view:               CC3_top
403
Requested Frequency:    1.0 MHz
404
Wire load mode:         top
405
Paths requested:        5
406
Constraint File(s):
407
@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing.
408
 
409
@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock.
410
 
411
 
412
 
413
Performance Summary
414
*******************
415
 
416
 
417 5 ale500
Worst slack in design: 971.433
418 4 ale500
 
419
                    Requested     Estimated     Requested     Estimated                 Clock        Clock
420
Starting Clock      Frequency     Frequency     Period        Period        Slack       Type         Group
421
------------------------------------------------------------------------------------------------------------------------
422 5 ale500
CC3_top|clk40_i     1.0 MHz       35.0 MHz      1000.000      28.567        971.433     inferred     Inferred_clkgroup_0
423 4 ale500
========================================================================================================================
424
 
425
 
426
 
427
 
428
 
429
Clock Relationships
430
*******************
431
 
432
Clocks                            |    rise  to  rise     |    fall  to  fall   |    rise  to  fall   |    fall  to  rise
433
--------------------------------------------------------------------------------------------------------------------------
434
Starting         Ending           |  constraint  slack    |  constraint  slack  |  constraint  slack  |  constraint  slack
435
--------------------------------------------------------------------------------------------------------------------------
436 5 ale500
CC3_top|clk40_i  CC3_top|clk40_i  |  1000.000    971.434  |  No paths    -      |  No paths    -      |  No paths    -
437 4 ale500
==========================================================================================================================
438
 Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
439
       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
440
 
441
 
442
 
443
Interface Information
444
*********************
445
 
446
No IO constraint found
447
 
448
 
449
 
450
====================================
451
Detailed Report for Clock: CC3_top|clk40_i
452
====================================
453
 
454
 
455
 
456
Starting Points with Worst Slack
457
********************************
458
 
459 5 ale500
                       Starting                                                  Arrival
460
Instance               Reference           Type        Pin     Net               Time        Slack
461
                       Clock
462
----------------------------------------------------------------------------------------------------
463
cpu0.k_opcode[4]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[4]       1.333       971.433
464
cpu0.k_opcode[5]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[5]       1.326       971.441
465
cpu0.k_opcode[0]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[0]       1.358       971.513
466
cpu0.k_opcode[3]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[3]       1.352       971.519
467
cpu0.k_opcode[1]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[1]       1.344       971.527
468
cpu0.k_opcode[7]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[7]       1.344       972.368
469
cpu0.k_opcode[6]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[6]       1.336       972.416
470
cpu0.k_opcode[2]       CC3_top|clk40_i     FD1P3AX     Q       k_opcode[2]       1.368       972.560
471
cpu0.k_postbyte[5]     CC3_top|clk40_i     FD1P3AX     Q       k_postbyte[5]     1.276       973.285
472
cpu0.k_postbyte[4]     CC3_top|clk40_i     FD1P3AX     Q       k_postbyte[4]     1.256       973.306
473
====================================================================================================
474 4 ale500
 
475
 
476
Ending Points with Worst Slack
477
******************************
478
 
479 5 ale500
                             Starting                                            Required
480
Instance                     Reference           Type        Pin     Net         Time         Slack
481
                             Clock
482
-----------------------------------------------------------------------------------------------------
483
cpu0.alu.regq16_pipe_124     CC3_top|clk40_i     FD1P3AX     D       N_911       1000.462     971.433
484
cpu0.alu.regq16_pipe_28      CC3_top|clk40_i     FD1P3AX     D       N_910_0     1000.462     971.648
485
cpu0.alu.regq16_pipe_32      CC3_top|clk40_i     FD1P3AX     D       N_909       1000.462     971.648
486
cpu0.alu.regq16_pipe_38      CC3_top|clk40_i     FD1P3AX     D       N_919       1000.462     971.951
487
cpu0.alu.regq16_pipe_49      CC3_top|clk40_i     FD1P3AX     D       N_918       1000.462     972.094
488
cpu0.alu.regq16_pipe_60      CC3_top|clk40_i     FD1P3AX     D       N_917       1000.462     972.094
489
cpu0.alu.regq16_pipe_71      CC3_top|clk40_i     FD1P3AX     D       N_916       1000.462     972.237
490
cpu0.alu.regq16_pipe_82      CC3_top|clk40_i     FD1P3AX     D       N_915       1000.462     972.237
491
cpu0.alu.regq16_pipe_93      CC3_top|clk40_i     FD1P3AX     D       N_914       1000.462     972.380
492
cpu0.alu.regq16_pipe_104     CC3_top|clk40_i     FD1P3AX     D       N_913       1000.462     972.380
493
=====================================================================================================
494 4 ale500
 
495
 
496
 
497
Worst Path Information
498
***********************
499
 
500
 
501
Path information for path number 1:
502
      Requested Period:                      1000.000
503 5 ale500
    - Setup time:                            -0.462
504 4 ale500
    + Clock delay at ending point:           0.000 (ideal)
505 5 ale500
    = Required time:                         1000.462
506 4 ale500
 
507 5 ale500
    - Propagation time:                      29.029
508 4 ale500
    - Clock delay at starting point:         0.000 (ideal)
509 5 ale500
    = Slack (critical) :                     971.433
510 4 ale500
 
511 5 ale500
    Number of logic level(s):                25
512
    Starting point:                          cpu0.k_opcode[4] / Q
513
    Ending point:                            cpu0.alu.regq16_pipe_124 / D
514 4 ale500
    The start point is clocked by            CC3_top|clk40_i [rising] on pin CK
515
    The end   point is clocked by            CC3_top|clk40_i [rising] on pin CK
516
 
517 5 ale500
Instance / Net                                                          Pin      Pin               Arrival     No. of
518
Name                                                       Type         Name     Dir     Delay     Time        Fan Out(s)
519
-------------------------------------------------------------------------------------------------------------------------
520
cpu0.k_opcode[4]                                           FD1P3AX      Q        Out     1.333     1.333       -
521
k_opcode[4]                                                Net          -        -       -         -           38
522
cpu0.dec_regs.state53_2_i_o2                               ORCALUT4     A        In      0.000     1.333       -
523
cpu0.dec_regs.state53_2_i_o2                               ORCALUT4     Z        Out     1.193     2.526       -
524
N_76                                                       Net          -        -       -         -           4
525
cpu0.dec_regs.un1_dest_reg53_2_0_a2                        ORCALUT4     B        In      0.000     2.526       -
526
cpu0.dec_regs.un1_dest_reg53_2_0_a2                        ORCALUT4     Z        Out     1.089     3.615       -
527
N_54_mux                                                   Net          -        -       -         -           2
528
cpu0.dec_regs.un1_dest_reg53_2_0                           ORCALUT4     D        In      0.000     3.615       -
529
cpu0.dec_regs.un1_dest_reg53_2_0                           ORCALUT4     Z        Out     1.089     4.704       -
530
un1_dest_reg53_2                                           Net          -        -       -         -           2
531
cpu0.dec_regs.un1_dest_reg44_1_2                           ORCALUT4     C        In      0.000     4.704       -
532
cpu0.dec_regs.un1_dest_reg44_1_2                           ORCALUT4     Z        Out     1.153     5.857       -
533
un1_dest_reg44_1_2                                         Net          -        -       -         -           3
534
cpu0.dec_regs.un1_dest_reg44_1                             ORCALUT4     B        In      0.000     5.857       -
535
cpu0.dec_regs.un1_dest_reg44_1                             ORCALUT4     Z        Out     1.089     6.945       -
536
un1_dest_reg44_1                                           Net          -        -       -         -           2
537
cpu0.dec_regs.path_left_addr_2_sqmuxa                      ORCALUT4     B        In      0.000     6.945       -
538
cpu0.dec_regs.path_left_addr_2_sqmuxa                      ORCALUT4     Z        Out     1.233     8.178       -
539
path_left_addr_2_sqmuxa                                    Net          -        -       -         -           6
540
cpu0.dec_regs.un1_dest_reg44_1_RNIU7BO                     ORCALUT4     A        In      0.000     8.178       -
541
cpu0.dec_regs.un1_dest_reg44_1_RNIU7BO                     ORCALUT4     Z        Out     1.089     9.267       -
542
N_519                                                      Net          -        -       -         -           2
543
cpu0.dec_regs.path_left_addr_bm[0]                         ORCALUT4     A        In      0.000     9.267       -
544
cpu0.dec_regs.path_left_addr_bm[0]                         ORCALUT4     Z        Out     1.017     10.284      -
545
path_left_addr_bm[0]                                       Net          -        -       -         -           1
546
cpu0.dec_regs.path_left_addr[0]                            PFUMX        ALUT     In      0.000     10.284      -
547
cpu0.dec_regs.path_left_addr[0]                            PFUMX        Z        Out     0.350     10.634      -
548
dec_o_left_path_addr[0]                                    Net          -        -       -         -           3
549
cpu0.regs.datamux_o_alu_in_left_path_addr_1_0[0]           ORCALUT4     B        In      0.000     10.634      -
550
cpu0.regs.datamux_o_alu_in_left_path_addr_1_0[0]           ORCALUT4     Z        Out     1.017     11.651      -
551
N_1062                                                     Net          -        -       -         -           1
552
cpu0.regs.datamux_o_alu_in_left_path_addr_1[0]             ORCALUT4     A        In      0.000     11.651      -
553
cpu0.regs.datamux_o_alu_in_left_path_addr_1[0]             ORCALUT4     Z        Out     1.384     13.035      -
554
datamux_o_alu_in_left_path_addr_1[0]                       Net          -        -       -         -           41
555
cpu0.regs.datamux_o_alu_in_left_path_addr_1_RNIUM5T[1]     ORCALUT4     A        In      0.000     13.035      -
556
cpu0.regs.datamux_o_alu_in_left_path_addr_1_RNIUM5T[1]     ORCALUT4     Z        Out     1.313     14.348      -
557
N_873                                                      Net          -        -       -         -           17
558
cpu0.regs.path_left_data_bm[0]                             ORCALUT4     C        In      0.000     14.348      -
559
cpu0.regs.path_left_data_bm[0]                             ORCALUT4     Z        Out     1.017     15.364      -
560
path_left_data_bm[0]                                       Net          -        -       -         -           1
561
cpu0.regs.path_left_data[0]                                PFUMX        ALUT     In      0.000     15.364      -
562
cpu0.regs.path_left_data[0]                                PFUMX        Z        Out     0.390     15.755      -
563
regs_o_left_path_data[0]                                   Net          -        -       -         -           4
564
cpu0.alu.datamux_o_alu_in_left_path_data[0]                ORCALUT4     A        In      0.000     15.755      -
565
cpu0.alu.datamux_o_alu_in_left_path_data[0]                ORCALUT4     Z        Out     1.387     17.142      -
566
datamux_o_alu_in_left_path_data[0]                         Net          -        -       -         -           43
567
cpu0.alu.mul16_w_madd_0_cry_0_0                            CCU2D        C1       In      0.000     17.142      -
568
cpu0.alu.mul16_w_madd_0_cry_0_0                            CCU2D        COUT     Out     1.544     18.686      -
569
mul16_w_madd_0_cry_0                                       Net          -        -       -         -           1
570
cpu0.alu.mul16_w_madd_0_cry_1_0                            CCU2D        CIN      In      0.000     18.686      -
571
cpu0.alu.mul16_w_madd_0_cry_1_0                            CCU2D        S0       Out     1.621     20.307      -
572
mul16_w_madd_0[2]                                          Net          -        -       -         -           2
573
cpu0.alu.mul16_w_madd_4_cry_0_0                            CCU2D        C1       In      0.000     20.307      -
574
cpu0.alu.mul16_w_madd_4_cry_0_0                            CCU2D        COUT     Out     1.544     21.852      -
575
mul16_w_madd_4_cry_0                                       Net          -        -       -         -           1
576
cpu0.alu.mul16_w_madd_4_cry_1_0                            CCU2D        CIN      In      0.000     21.852      -
577
cpu0.alu.mul16_w_madd_4_cry_1_0                            CCU2D        S1       Out     1.621     23.473      -
578
mul16_w_madd                                               Net          -        -       -         -           2
579
cpu0.alu.mul16_w_madd_cry_0_0                              CCU2D        A1       In      0.000     23.473      -
580
cpu0.alu.mul16_w_madd_cry_0_0                              CCU2D        COUT     Out     1.544     25.017      -
581
mul16_w_madd_cry_0                                         Net          -        -       -         -           1
582
cpu0.alu.mul16_w_madd_cry_1_0                              CCU2D        CIN      In      0.000     25.017      -
583
cpu0.alu.mul16_w_madd_cry_1_0                              CCU2D        COUT     Out     0.143     25.160      -
584
mul16_w_madd_cry_2                                         Net          -        -       -         -           1
585
cpu0.alu.mul16_w_madd_cry_3_0                              CCU2D        CIN      In      0.000     25.160      -
586
cpu0.alu.mul16_w_madd_cry_3_0                              CCU2D        S0       Out     1.621     26.781      -
587
mul16_w[7]                                                 Net          -        -       -         -           2
588
cpu0.alu.q16_20[7]                                         ORCALUT4     A        In      0.000     26.781      -
589
cpu0.alu.q16_20[7]                                         ORCALUT4     Z        Out     1.017     27.798      -
590
N_847                                                      Net          -        -       -         -           1
591
cpu0.alu.q16_24_am[7]                                      ORCALUT4     A        In      0.000     27.798      -
592
cpu0.alu.q16_24_am[7]                                      ORCALUT4     Z        Out     1.017     28.815      -
593
q16_24_am[7]                                               Net          -        -       -         -           1
594
cpu0.alu.q16_24[7]                                         PFUMX        BLUT     In      0.000     28.815      -
595
cpu0.alu.q16_24[7]                                         PFUMX        Z        Out     0.214     29.029      -
596
N_911                                                      Net          -        -       -         -           1
597
cpu0.alu.regq16_pipe_124                                   FD1P3AX      D        In      0.000     29.029      -
598
=========================================================================================================================
599 4 ale500
 
600
 
601
 
602
##### END OF TIMING REPORT #####]
603
 
604
---------------------------------------
605
Resource Usage Report
606
Part: lcmxo2_7000he-4
607
 
608 5 ale500
Register bits: 573 of 6864 (8%)
609 4 ale500
PIC Latch:       0
610
I/O cells:       49
611
Block Rams : 2 of 26 (7%)
612
 
613
 
614
Details:
615
CCU2D:          162
616
DP8KC:          2
617 5 ale500
FD1P3AX:        552
618 4 ale500
FD1P3DX:        6
619 5 ale500
FD1P3IX:        2
620 4 ale500
FD1P3JX:        4
621
FD1S3AX:        1
622
GSR:            1
623
IB:             1
624 5 ale500
INV:            11
625
L6MUX21:        22
626 4 ale500
OB:             48
627
OFS1P3DX:       8
628 5 ale500
ORCALUT4:       2177
629
PFUMX:          315
630 4 ale500
PUR:            1
631
VHI:            4
632
VLO:            10
633
true:           6
634
Mapper successful!
635
 
636 5 ale500
At Mapper Exit (Real Time elapsed 0h:00m:18s; CPU Time elapsed 0h:00m:18s; Memory used current: 44MB peak: 228MB)
637 4 ale500
 
638 5 ale500
Process took 0h:00m:18s realtime, 0h:00m:18s cputime
639
# Tue Dec 31 08:52:45 2013
640 4 ale500
 
641
###########################################################]
642
 
643
 
644
Synthesis exit by 0.
645
 
646
edif2ngd  -l "MachXO2" -d LCMXO2-7000HE -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi" "P6809_P6809.ngo"
647
edif2ngd:  version Diamond (64-bit) 2.2.0.101
648
 
649
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
650
Copyright (c) 1995 AT&T Corp.   All rights reserved.
651
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
652
Copyright (c) 2001 Agere Systems   All rights reserved.
653
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
654
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
655
  On or above line 291 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
656
 
657
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
658
  On or above line 299 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
659
 
660
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
661 5 ale500
  On or above line 610 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
662 4 ale500
 
663
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
664 5 ale500
  On or above line 1405 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
665 4 ale500
 
666
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
667 5 ale500
  On or above line 1481 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
668 4 ale500
 
669
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
670 5 ale500
  On or above line 2852 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
671 4 ale500
 
672
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
673 5 ale500
  On or above line 6393 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
674 4 ale500
 
675
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
676 5 ale500
  On or above line 18874 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
677 4 ale500
 
678
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
679 5 ale500
  On or above line 33610 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
680 4 ale500
 
681
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
682 5 ale500
  On or above line 34028 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
683 4 ale500
 
684
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
685 5 ale500
  On or above line 38347 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
686 4 ale500
 
687
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
688 5 ale500
  On or above line 39078 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
689 4 ale500
 
690
Writing the design to P6809_P6809.ngo...
691
 
692
 
693
ngdbuild  -a "MachXO2" -d LCMXO2-7000HE  -p "/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data"  -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "P6809_P6809.ngo" "P6809_P6809.ngd"
694
ngdbuild:  version Diamond (64-bit) 2.2.0.101
695
 
696
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
697
Copyright (c) 1995 AT&T Corp.   All rights reserved.
698
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
699
Copyright (c) 2001 Agere Systems   All rights reserved.
700
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
701
Reading 'P6809_P6809.ngo' ...
702
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00a/data/xo2alib.ngl'...
703
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data/xo2clib.ngl'...
704
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/mg5g00/data/mg5glib.ngl'...
705
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/or5g00/data/orc5glib.ngl'...
706
 
707
 
708
Running DRC...
709
 
710
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_COUT' has no load
711
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_S1' has no load
712
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S0' has no load
713
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S1' has no load
714 5 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_s_15_0_COUT' has no load
715
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_s_15_0_S1' has no load
716
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_cry_0_0_S0' has no load
717
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_cry_0_0_S1' has no load
718
WARNING - ngdbuild: logical net 'cpu0/regs/un1_old_su_1_s_15_0_COUT' has no load
719
WARNING - ngdbuild: logical net 'cpu0/regs/un1_old_su_1_s_15_0_S1' has no load
720
WARNING - ngdbuild: logical net 'cpu0/regs/un1_old_su_1_cry_0_0_S0' has no load
721
WARNING - ngdbuild: logical net 'cpu0/regs/un1_old_su_1_cry_0_0_S1' has no load
722
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_s_15_0_COUT' has no load
723
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_s_15_0_S1' has no load
724
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_cry_0_0_S0' has no load
725
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_cry_0_0_S1' has no load
726 4 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/PC_cry_0_COUT[14]' has no load
727
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S0' has no load
728
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S1' has no load
729 5 ale500
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_s_11_0_COUT' has no load
730
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_s_11_0_S1' has no load
731
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_cry_0_0_S0' has no load
732
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_cry_0_0_S1' has no load
733
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_s_11_0_COUT' has no load
734
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_s_11_0_S1' has no load
735
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_cry_2_0_S0' has no load
736
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_cry_2_0_S1' has no load
737
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_9_0_COUT' has no load
738
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_0_0_S0' has no load
739
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_0_0_S1' has no load
740
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_8_0_COUT' has no load
741
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_1_0_S0' has no load
742
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_1_0_S1' has no load
743
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_8_0_COUT' has no load
744
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_1_0_S0' has no load
745
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_1_0_S1' has no load
746
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_8_0_COUT' has no load
747
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_1_0_S0' has no load
748
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_1_0_S1' has no load
749
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_7_0_COUT' has no load
750
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_0_0_S0' has no load
751
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_0_0_S1' has no load
752
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_s_15_0_COUT' has no load
753
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_s_15_0_S1' has no load
754
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_cry_0_0_S0' has no load
755
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_cry_0_0_S1' has no load
756
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_7_0_COUT' has no load
757
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_7_0_S0' has no load
758
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_5_0_S0' has no load
759
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_5_0_S1' has no load
760
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_3_0_S0' has no load
761
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_3_0_S1' has no load
762
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_1_0_S0' has no load
763
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_1_0_S1' has no load
764
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_0_0_S0' has no load
765
WARNING - ngdbuild: logical net 'cpu0/alu/sbc16_w_cry_15_0_COUT' has no load
766
WARNING - ngdbuild: logical net 'cpu0/alu/sbc16_w_cry_0_0_S0' has no load
767
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_15_0_COUT' has no load
768
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_0_0_S0' has no load
769
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_0_0_S1' has no load
770
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_7_0_COUT' has no load
771
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_7_0_S0' has no load
772
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_5_0_S0' has no load
773
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_5_0_S1' has no load
774
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_3_0_S0' has no load
775
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_3_0_S1' has no load
776
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_1_0_S0' has no load
777
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_1_0_S1' has no load
778
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_0_0_S0' has no load
779
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_0_0_S1' has no load
780 4 ale500
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_15_0_COUT' has no load
781
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_0_0_S0' has no load
782
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_0_0_S1' has no load
783
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_s_15_0_COUT' has no load
784
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_s_15_0_S1' has no load
785
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_13_0_S0' has no load
786
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_13_0_S1' has no load
787
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_11_0_S0' has no load
788
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_11_0_S1' has no load
789
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_9_0_S0' has no load
790
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_9_0_S1' has no load
791
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_7_0_S1' has no load
792
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_5_0_S0' has no load
793
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_5_0_S1' has no load
794
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_3_0_S0' has no load
795
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_3_0_S1' has no load
796
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_1_0_S0' has no load
797
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_1_0_S1' has no load
798
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_0_0_S0' has no load
799
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_0_0_S1' has no load
800 5 ale500
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_7_0_COUT' has no load
801
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_7_0_S0' has no load
802
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_5_0_S0' has no load
803
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_5_0_S1' has no load
804
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_3_0_S0' has no load
805
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_3_0_S1' has no load
806
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_1_0_S0' has no load
807
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_1_0_S1' has no load
808
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_0_0_S0' has no load
809
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_0_0_S1' has no load
810
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_4_s_15_0_COUT' has no load
811
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_4_s_15_0_S1' has no load
812
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_4_cry_0_0_S0' has no load
813
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_4_cry_0_0_S1' has no load
814 4 ale500
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_COUT' has no load
815
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_S1' has no load
816
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S0' has no load
817
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S1' has no load
818
WARNING - ngdbuild: DRC complete with 108 warnings
819
 
820
Design Results:
821 5 ale500
   3326 blocks expanded
822 4 ale500
complete the first expansion
823
Writing 'P6809_P6809.ngd' ...
824
 
825
map -a "MachXO2" -p LCMXO2-7000HE -t TQFP144 -s 4 -oc Commercial   "P6809_P6809.ngd" -o "P6809_P6809_map.ncd" -pr "P6809_P6809.prf" -mp "P6809_P6809.mrp" "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809.lpf" -c 0
826
map:  version Diamond (64-bit) 2.2.0.101
827
 
828
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
829
Copyright (c) 1995 AT&T Corp.   All rights reserved.
830
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
831
Copyright (c) 2001 Agere Systems   All rights reserved.
832
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
833
   Process the file: P6809_P6809.ngd
834
   Picdevice="LCMXO2-7000HE"
835
 
836
   Pictype="TQFP144"
837
 
838
   Picspeed=4
839
 
840
   Remove unused logic
841
 
842
   Do not produce over sized NCDs.
843
 
844
Part used: LCMXO2-7000HETQFP144, Performance used: 4.
845
Loading device for application map from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
846
Package Status:                     Final          Version 1.36
847
 
848
Running general design DRC...
849
Removing unused logic...
850
Optimizing...
851
7 CCU2 constant inputs absorbed.
852 5 ale500
WARNING - map: Using local reset signal 'cpu0.cpu_reset_i_2_i' to infer global GSR net.
853 4 ale500
WARNING - map: The reset of EBR 'bios/bios2k_0_1_0' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
854
WARNING - map: The reset of EBR 'bios/bios2k_0_0_1' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
855
 
856
 
857
 
858
Design Summary:
859 5 ale500
   Number of registers:    573
860
      PFU registers:    565
861 4 ale500
      PIO registers:    8
862 5 ale500
   Number of SLICEs:          1259 out of  3432 (37%)
863 4 ale500
      SLICEs(logic/ROM):       858 out of   858 (100%)
864 5 ale500
      SLICEs(logic/ROM/RAM):   401 out of  2574 (16%)
865 4 ale500
          As RAM:            0 out of  2574 (0%)
866 5 ale500
          As Logic/ROM:    401 out of  2574 (16%)
867
   Number of logic LUT4s:     2189
868 4 ale500
   Number of distributed RAM:   0 (0 LUT4s)
869
   Number of ripple logic:    162 (324 LUT4s)
870
   Number of shift registers:   0
871 5 ale500
   Total number of LUT4s:     2513
872 4 ale500
   Number of PIO sites used: 49 + 4(JTAG) out of 115 (46%)
873
   Number of block RAMs:  2 out of 26 (8%)
874
   Number of GSRs:  1 out of 1 (100%)
875
   EFB used :       No
876
   JTAG used :      No
877
   Readback used :  No
878
   Oscillator used :  No
879
   Startup used :   No
880
   POR :            On
881
   Bandgap :        On
882
   Number of Power Controller:  0 out of 1 (0%)
883
   Number of Dynamic Bank Controller (BCINRD):  0 out of 6 (0%)
884
   Number of Dynamic Bank Controller (BCLVDSO):  0 out of 1 (0%)
885
   Number of DCCA:  0 out of 8 (0%)
886
   Number of DCMA:  0 out of 2 (0%)
887
   Number of PLLs:  0 out of 2 (0%)
888
   Number of DQSDLLs:  0 out of 2 (0%)
889
   Number of CLKDIVC:  0 out of 4 (0%)
890
   Number of ECLKSYNCA:  0 out of 4 (0%)
891
   Number of ECLKBRIDGECS:  0 out of 2 (0%)
892
   Notes:-
893
      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic)
894
      2. Number of logic LUT4s does not include count of distributed RAM and ripple logic.
895
   Number of clocks:  1
896 5 ale500
     Net cpu_clkgen: 374 loads, 374 rising, 0 falling (Driver: PIO clk40_i )
897
   Number of Clock Enables:  27
898
     Net cpu_clk: 187 loads, 187 LSLICEs
899
     Net k_cpu_we_RNIKJPB: 8 loads, 0 LSLICEs
900 4 ale500
     Net un1_cen_o_0: 4 loads, 0 LSLICEs
901 5 ale500
     Net cpu0/k_ealo_0_sqmuxa_RNICERE1: 5 loads, 5 LSLICEs
902
     Net cpu0/k_new_pc26_RNICEI54: 3 loads, 3 LSLICEs
903
     Net cpu0/k_ealo_cnv_0[0]: 13 loads, 13 LSLICEs
904
     Net cpu0/k_eahi_0_sqmuxa_2_RNIC7377: 4 loads, 4 LSLICEs
905
     Net cpu0/k_pp_regs55_RNIJTK99: 2 loads, 2 LSLICEs
906
     Net cpu0/un1_state_31_RNIDQAP: 4 loads, 4 LSLICEs
907
     Net cpu0/un1_state_28_0_a2_RNIKHLH: 5 loads, 5 LSLICEs
908
     Net cpu0/un1_k_opcode_4_RNII2FP8: 8 loads, 8 LSLICEs
909
     Net cpu0/k_ofshi_1_sqmuxa_RNI9N8V: 4 loads, 4 LSLICEs
910
     Net cpu0/state79_RNICDUH4: 1 loads, 1 LSLICEs
911
     Net cpu0/cff_0_sqmuxa_1_i_0_0_RNIAM8L: 44 loads, 44 LSLICEs
912
     Net cpu0/regs/eflag_RNO: 1 loads, 1 LSLICEs
913
     Net cpu0/regs/IY_0_sqmuxa_i_a3_0_RNI01N31: 18 loads, 18 LSLICEs
914
     Net cpu0/regs/IX_0_sqmuxa_1_i_a2_RNIKUBD1: 17 loads, 17 LSLICEs
915
     Net cpu0/regs/DP_0_sqmuxa_i_a3_0_RNIV3T11: 7 loads, 7 LSLICEs
916
     Net cpu0/regs/ACCB_0_sqmuxa_1_RNIGOBV: 7 loads, 7 LSLICEs
917
     Net cpu0/regs/ACCB45_RNI83PT2: 4 loads, 4 LSLICEs
918
     Net cpu0/k_memlo_1_sqmuxa_RNIT89Q: 4 loads, 4 LSLICEs
919
     Net cpu0/next_state_0_sqmuxa_2_0_a2_RNII5VUC1: 3 loads, 3 LSLICEs
920
     Net cpu0/k_new_pc29_RNIV0H41: 4 loads, 4 LSLICEs
921
     Net cpu0/un1_state_74_RNIID554: 4 loads, 4 LSLICEs
922
     Net cpu0/un3_cpu_reset_RNIO5453: 4 loads, 4 LSLICEs
923
     Net cpu0/un3_cpu_reset_RNIT72KK: 4 loads, 4 LSLICEs
924
     Net cpu0/un3_cpu_reset_RNIRKP92: 4 loads, 4 LSLICEs
925
   Number of local set/reset loads for net cpu0.cpu_reset_i_2_i merged into GSR:  6
926
   Number of LSRs:  2
927
     Net cpu0/state_RNI06PR1[5]: 3 loads, 3 LSLICEs
928
     Net cpu0/regs/eflag_RNO_0: 1 loads, 1 LSLICEs
929 4 ale500
   Number of nets driven by tri-state buffers:  0
930
   Top 10 highest fanout non-clock nets:
931 5 ale500
     Net cpu_clk: 211 loads
932
     Net cpu0/dec_o_alu_opcode[0]: 192 loads
933
     Net cpu0/dec_o_alu_opcode[2]: 124 loads
934
     Net cpu0/dec_o_alu_opcode[3]: 104 loads
935 4 ale500
     Net state_o_c[5]: 76 loads
936 5 ale500
     Net state_o_c[1]: 75 loads
937
     Net cpu0/dec_o_p1_mode[0]: 68 loads
938
     Net state_o_c[4]: 65 loads
939
     Net state_o_c[0]: 63 loads
940
     Net state_o_c[3]: 61 loads
941 4 ale500
 
942
   Number of warnings:  3
943
   Number of errors:    0
944
 
945
 
946 5 ale500
Total CPU Time: 1 secs
947 4 ale500
Total REAL Time: 0 secs
948 5 ale500
Peak Memory Usage: 195 MB
949 4 ale500
 
950
Dumping design to file P6809_P6809_map.ncd.
951
 
952
trce -f "P6809_P6809.mt" -o "P6809_P6809.tw1" "P6809_P6809_map.ncd" "P6809_P6809.prf"
953
trce:  version Diamond (64-bit) 2.2.0.101
954
 
955
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
956
Copyright (c) 1995 AT&T Corp.   All rights reserved.
957
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
958
Copyright (c) 2001 Agere Systems   All rights reserved.
959
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
960
 
961
Loading design for application trce from file P6809_P6809_map.ncd.
962
Design name: CC3_top
963
NCD version: 3.2
964
Vendor:      LATTICE
965
Device:      LCMXO2-7000HE
966
Package:     TQFP144
967
Performance: 4
968
Loading device for application trce from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
969
Package Status:                     Final          Version 1.36
970
Performance Hardware Data Status:   Final)         Version 23.4
971
Setup and Hold Report
972
 
973
--------------------------------------------------------------------------------
974
Lattice TRACE Report - Setup, Version Diamond (64-bit) 2.2.0.101
975 5 ale500
Tue Dec 31 08:52:49 2013
976 4 ale500
 
977
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
978
Copyright (c) 1995 AT&T Corp.   All rights reserved.
979
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
980
Copyright (c) 2001 Agere Systems   All rights reserved.
981
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
982
 
983
Report Information
984
------------------
985
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
986
Design file:     P6809_P6809_map.ncd
987
Preference file: P6809_P6809.prf
988
Device,speed:    LCMXO2-7000HE,4
989
Report level:    verbose report, limited to 1 item per preference
990
--------------------------------------------------------------------------------
991
 
992
BLOCK ASYNCPATHS
993
BLOCK RESETPATHS
994
--------------------------------------------------------------------------------
995
 
996
 
997
 
998
Timing summary (Setup):
999
---------------
1000
 
1001 5 ale500
Timing errors: 4096  Score: 43964214
1002
Cumulative negative slack: 43964214
1003 4 ale500
 
1004 5 ale500
Constraints cover 130482274 paths, 1 nets, and 9545 connections (95.7% coverage)
1005 4 ale500
 
1006
--------------------------------------------------------------------------------
1007
Lattice TRACE Report - Hold, Version Diamond (64-bit) 2.2.0.101
1008 5 ale500
Tue Dec 31 08:52:49 2013
1009 4 ale500
 
1010
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1011
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1012
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1013
Copyright (c) 2001 Agere Systems   All rights reserved.
1014
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1015
 
1016
Report Information
1017
------------------
1018
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
1019
Design file:     P6809_P6809_map.ncd
1020
Preference file: P6809_P6809.prf
1021
Device,speed:    LCMXO2-7000HE,M
1022
Report level:    verbose report, limited to 1 item per preference
1023
--------------------------------------------------------------------------------
1024
 
1025
BLOCK ASYNCPATHS
1026
BLOCK RESETPATHS
1027
--------------------------------------------------------------------------------
1028
 
1029
 
1030
 
1031
Timing summary (Hold):
1032
---------------
1033
 
1034
Timing errors: 0  Score: 0
1035
Cumulative negative slack: 0
1036
 
1037 5 ale500
Constraints cover 130482274 paths, 1 nets, and 9903 connections (99.2% coverage)
1038 4 ale500
 
1039
 
1040
 
1041
Timing summary (Setup and Hold):
1042
---------------
1043
 
1044
Timing errors: 4096 (setup), 0 (hold)
1045 5 ale500
Score: 43964214 (setup), 0 (hold)
1046
Cumulative negative slack: 43964214 (43964214+0)
1047 4 ale500
--------------------------------------------------------------------------------
1048
 
1049
--------------------------------------------------------------------------------
1050
 
1051
Total time: 0 secs

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.