OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [textmem4k.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ale500
/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */
2
/* Module Version: 7.2 */
3
/* /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n textmem4k -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 8 -rdata_width 8 -num_rows 4096 -cascade -1 -memfile /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/textmem2k.mem -memformat orca -writemodeA NORMAL -writemodeB NORMAL -e  */
4
/* Fri Jan  3 10:41:37 2014 */
5
 
6
 
7
`timescale 1 ns / 1 ps
8
module textmem4k (DataInA, DataInB, AddressA, AddressB, ClockA, ClockB,
9
    ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB, QA, QB)/* synthesis NGD_DRC_MASK=1 */;
10
    input wire [7:0] DataInA;
11
    input wire [7:0] DataInB;
12
    input wire [11:0] AddressA;
13
    input wire [11:0] AddressB;
14
    input wire ClockA;
15
    input wire ClockB;
16
    input wire ClockEnA;
17
    input wire ClockEnB;
18
    input wire WrA;
19
    input wire WrB;
20
    input wire ResetA;
21
    input wire ResetB;
22
    output wire [7:0] QA;
23
    output wire [7:0] QB;
24
 
25
    wire scuba_vhi;
26
    wire scuba_vlo;
27
 
28
    VHI scuba_vhi_inst (.Z(scuba_vhi));
29
 
30
    defparam textmem4k_0_0_3.INIT_DATA = "STATIC" ;
31
    defparam textmem4k_0_0_3.ASYNC_RESET_RELEASE = "SYNC" ;
32
    defparam textmem4k_0_0_3.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
33
    defparam textmem4k_0_0_3.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
34
    defparam textmem4k_0_0_3.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
35
    defparam textmem4k_0_0_3.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
36
    defparam textmem4k_0_0_3.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
37
    defparam textmem4k_0_0_3.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
38
    defparam textmem4k_0_0_3.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
39
    defparam textmem4k_0_0_3.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
40
    defparam textmem4k_0_0_3.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
41
    defparam textmem4k_0_0_3.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
42
    defparam textmem4k_0_0_3.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
43
    defparam textmem4k_0_0_3.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
44
    defparam textmem4k_0_0_3.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
45
    defparam textmem4k_0_0_3.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
46
    defparam textmem4k_0_0_3.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
47
    defparam textmem4k_0_0_3.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
48
    defparam textmem4k_0_0_3.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
49
    defparam textmem4k_0_0_3.INITVAL_0E = "0x18000000000000000000000000000000000000000000000003100000000000000000000000000000" ;
50
    defparam textmem4k_0_0_3.INITVAL_0D = "0x000000000000000000020800000000000000000000000000000000000000000000000101C4E088E4" ;
51
    defparam textmem4k_0_0_3.INITVAL_0C = "0x1C84E09C441C8E409C4E088E41C84E09C441C8E40800000000000000000000000000000000000000" ;
52
    defparam textmem4k_0_0_3.INITVAL_0B = "0x00000000010000000000000000000000000000000000000000000000000018000000000000000000" ;
53
    defparam textmem4k_0_0_3.INITVAL_0A = "0x00000000000000000000000000000310000000000000000000000000000000000000000000000002" ;
54
    defparam textmem4k_0_0_3.INITVAL_09 = "0x08000000000000000000000000000000000000000000000001000000000000000000000000000000" ;
55
    defparam textmem4k_0_0_3.INITVAL_08 = "0x00000000000000000000180000000000000000000000000000000000000000000000031000000000" ;
56
    defparam textmem4k_0_0_3.INITVAL_07 = "0x00000000000000000000000000000000000000020800000000000000000000000000000000000000" ;
57
    defparam textmem4k_0_0_3.INITVAL_06 = "0x000000000101C4E088E41C84E09C441C8E409C4E088E41C84E09C441C8E408000000000000000000" ;
58
    defparam textmem4k_0_0_3.INITVAL_05 = "0x00000000000000000000000000000100000000000000000000000000000000000000000000000000" ;
59
    defparam textmem4k_0_0_3.INITVAL_04 = "0x18000000000000000000000000000000000000000000000003100000000000000000000000000000" ;
60
    defparam textmem4k_0_0_3.INITVAL_03 = "0x00000000000000000002080000000000000000000000000000000000000000000000010000000000" ;
61
    defparam textmem4k_0_0_3.INITVAL_02 = "0x00000000000000000000000000000000000000001800000000000000000000000000000000000000" ;
62
    defparam textmem4k_0_0_3.INITVAL_01 = "0x00000000031000000000000000000000000000000000000000000000000208000000000000000000" ;
63
    defparam textmem4k_0_0_3.INITVAL_00 = "0x00000000000000000000000000000109C4E088E41C84E09C441C8E409C4E088E41C84E09C441C8E4" ;
64
    defparam textmem4k_0_0_3.CSDECODE_B = "0b000" ;
65
    defparam textmem4k_0_0_3.CSDECODE_A = "0b000" ;
66
    defparam textmem4k_0_0_3.WRITEMODE_B = "NORMAL" ;
67
    defparam textmem4k_0_0_3.WRITEMODE_A = "NORMAL" ;
68
    defparam textmem4k_0_0_3.GSR = "ENABLED" ;
69
    defparam textmem4k_0_0_3.RESETMODE = "ASYNC" ;
70
    defparam textmem4k_0_0_3.REGMODE_B = "NOREG" ;
71
    defparam textmem4k_0_0_3.REGMODE_A = "NOREG" ;
72
    defparam textmem4k_0_0_3.DATA_WIDTH_B = 2 ;
73
    defparam textmem4k_0_0_3.DATA_WIDTH_A = 2 ;
74
    DP8KC textmem4k_0_0_3 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
75
        .DIA5(DataInA[1]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[0]),
76
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
77
        .ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
78
        .ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
79
        .ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
80
        .OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
81
        .CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
82
        .DIB6(scuba_vlo), .DIB5(DataInB[1]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
83
        .DIB2(DataInB[0]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
84
        .ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
85
        .ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
86
        .ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
87
        .CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
88
        .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
89
        .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[1]), .DOA0(QA[0]),
90
        .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[1]),
91
        .DOB0(QB[0]))
92
             /* synthesis MEM_LPC_FILE="textmem4k.lpc" */
93
             /* synthesis MEM_INIT_FILE="textmem2k.mem" */;
94
 
95
    defparam textmem4k_0_1_2.INIT_DATA = "STATIC" ;
96
    defparam textmem4k_0_1_2.ASYNC_RESET_RELEASE = "SYNC" ;
97
    defparam textmem4k_0_1_2.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
98
    defparam textmem4k_0_1_2.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
99
    defparam textmem4k_0_1_2.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
100
    defparam textmem4k_0_1_2.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
101
    defparam textmem4k_0_1_2.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
102
    defparam textmem4k_0_1_2.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
103
    defparam textmem4k_0_1_2.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
104
    defparam textmem4k_0_1_2.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
105
    defparam textmem4k_0_1_2.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
106
    defparam textmem4k_0_1_2.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
107
    defparam textmem4k_0_1_2.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
108
    defparam textmem4k_0_1_2.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
109
    defparam textmem4k_0_1_2.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
110
    defparam textmem4k_0_1_2.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
111
    defparam textmem4k_0_1_2.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
112
    defparam textmem4k_0_1_2.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
113
    defparam textmem4k_0_1_2.INITVAL_0F = "0x00000000000000000000000000000008000000000000000000000000000000000000000000000001" ;
114
    defparam textmem4k_0_1_2.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
115
    defparam textmem4k_0_1_2.INITVAL_0D = "0x000000000000000000000000000000000000000000000000000000000000000000000004A5001455" ;
116
    defparam textmem4k_0_1_2.INITVAL_0C = "0x000A50A00A0AA0014A5001455000A50A00A0AA001000000000000000000000000000000000000000" ;
117
    defparam textmem4k_0_1_2.INITVAL_0B = "0x00000000021000000000000000000000000000000000000000000000000208000000000000000000" ;
118
    defparam textmem4k_0_1_2.INITVAL_0A = "0x00000000000000000000000000000108000000000000000000000000000000000000000000000001" ;
119
    defparam textmem4k_0_1_2.INITVAL_09 = "0x08000000000000000000000000000000000000000000000001080000000000000000000000000000" ;
120
    defparam textmem4k_0_1_2.INITVAL_08 = "0x00000000000000000001000000000000000000000000000000000000000000000000000000000000" ;
121
    defparam textmem4k_0_1_2.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
122
    defparam textmem4k_0_1_2.INITVAL_06 = "0x000000000004A5001455000A50A00A0AA0014A5001455000A50A00A0AA0010000000000000000000" ;
123
    defparam textmem4k_0_1_2.INITVAL_05 = "0x00000000000000000000000000000210000000000000000000000000000000000000000000000002" ;
124
    defparam textmem4k_0_1_2.INITVAL_04 = "0x08000000000000000000000000000000000000000000000001080000000000000000000000000000" ;
125
    defparam textmem4k_0_1_2.INITVAL_03 = "0x00000000000000000001080000000000000000000000000000000000000000000000010800000000" ;
126
    defparam textmem4k_0_1_2.INITVAL_02 = "0x00000000000000000000000000000000000000010000000000000000000000000000000000000000" ;
127
    defparam textmem4k_0_1_2.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
128
    defparam textmem4k_0_1_2.INITVAL_00 = "0x00000000000000000000000000000014A5001455000A50A00A0AA0014A5001455000A50A00A0AA00" ;
129
    defparam textmem4k_0_1_2.CSDECODE_B = "0b000" ;
130
    defparam textmem4k_0_1_2.CSDECODE_A = "0b000" ;
131
    defparam textmem4k_0_1_2.WRITEMODE_B = "NORMAL" ;
132
    defparam textmem4k_0_1_2.WRITEMODE_A = "NORMAL" ;
133
    defparam textmem4k_0_1_2.GSR = "ENABLED" ;
134
    defparam textmem4k_0_1_2.RESETMODE = "ASYNC" ;
135
    defparam textmem4k_0_1_2.REGMODE_B = "NOREG" ;
136
    defparam textmem4k_0_1_2.REGMODE_A = "NOREG" ;
137
    defparam textmem4k_0_1_2.DATA_WIDTH_B = 2 ;
138
    defparam textmem4k_0_1_2.DATA_WIDTH_A = 2 ;
139
    DP8KC textmem4k_0_1_2 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
140
        .DIA5(DataInA[3]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[2]),
141
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
142
        .ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
143
        .ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
144
        .ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
145
        .OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
146
        .CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
147
        .DIB6(scuba_vlo), .DIB5(DataInB[3]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
148
        .DIB2(DataInB[2]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
149
        .ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
150
        .ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
151
        .ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
152
        .CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
153
        .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
154
        .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[3]), .DOA0(QA[2]),
155
        .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[3]),
156
        .DOB0(QB[2]))
157
             /* synthesis MEM_LPC_FILE="textmem4k.lpc" */
158
             /* synthesis MEM_INIT_FILE="textmem2k.mem" */;
159
 
160
    defparam textmem4k_0_2_1.INIT_DATA = "STATIC" ;
161
    defparam textmem4k_0_2_1.ASYNC_RESET_RELEASE = "SYNC" ;
162
    defparam textmem4k_0_2_1.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
163
    defparam textmem4k_0_2_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
164
    defparam textmem4k_0_2_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
165
    defparam textmem4k_0_2_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
166
    defparam textmem4k_0_2_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
167
    defparam textmem4k_0_2_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
168
    defparam textmem4k_0_2_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
169
    defparam textmem4k_0_2_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
170
    defparam textmem4k_0_2_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
171
    defparam textmem4k_0_2_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
172
    defparam textmem4k_0_2_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
173
    defparam textmem4k_0_2_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
174
    defparam textmem4k_0_2_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
175
    defparam textmem4k_0_2_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
176
    defparam textmem4k_0_2_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
177
    defparam textmem4k_0_2_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
178
    defparam textmem4k_0_2_1.INITVAL_0F = "0x0000000000000000000000000000001D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
179
    defparam textmem4k_0_2_1.INITVAL_0E = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
180
    defparam textmem4k_0_2_1.INITVAL_0D = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1FEFF1FEFF" ;
181
    defparam textmem4k_0_2_1.INITVAL_0C = "0x1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
182
    defparam textmem4k_0_2_1.INITVAL_0B = "0x154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA" ;
183
    defparam textmem4k_0_2_1.INITVAL_0A = "0x154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
184
    defparam textmem4k_0_2_1.INITVAL_09 = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
185
    defparam textmem4k_0_2_1.INITVAL_08 = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA" ;
186
    defparam textmem4k_0_2_1.INITVAL_07 = "0x154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
187
    defparam textmem4k_0_2_1.INITVAL_06 = "0x154AA154AB1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1D4AA154AA154AA154AA" ;
188
    defparam textmem4k_0_2_1.INITVAL_05 = "0x154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB" ;
189
    defparam textmem4k_0_2_1.INITVAL_04 = "0x1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA" ;
190
    defparam textmem4k_0_2_1.INITVAL_03 = "0x154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA" ;
191
    defparam textmem4k_0_2_1.INITVAL_02 = "0x154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA" ;
192
    defparam textmem4k_0_2_1.INITVAL_01 = "0x154AA154AB1D4AA154AA154AA154AA154AA154AA154AA154AA154AA154AB1D4AA154AA154AA154AA" ;
193
    defparam textmem4k_0_2_1.INITVAL_00 = "0x154AA154AA154AA154AA154AA154AB1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF1FEFF" ;
194
    defparam textmem4k_0_2_1.CSDECODE_B = "0b000" ;
195
    defparam textmem4k_0_2_1.CSDECODE_A = "0b000" ;
196
    defparam textmem4k_0_2_1.WRITEMODE_B = "NORMAL" ;
197
    defparam textmem4k_0_2_1.WRITEMODE_A = "NORMAL" ;
198
    defparam textmem4k_0_2_1.GSR = "ENABLED" ;
199
    defparam textmem4k_0_2_1.RESETMODE = "ASYNC" ;
200
    defparam textmem4k_0_2_1.REGMODE_B = "NOREG" ;
201
    defparam textmem4k_0_2_1.REGMODE_A = "NOREG" ;
202
    defparam textmem4k_0_2_1.DATA_WIDTH_B = 2 ;
203
    defparam textmem4k_0_2_1.DATA_WIDTH_A = 2 ;
204
    DP8KC textmem4k_0_2_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
205
        .DIA5(DataInA[5]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[4]),
206
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
207
        .ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
208
        .ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
209
        .ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
210
        .OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
211
        .CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
212
        .DIB6(scuba_vlo), .DIB5(DataInB[5]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
213
        .DIB2(DataInB[4]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
214
        .ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
215
        .ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
216
        .ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
217
        .CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
218
        .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
219
        .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[5]), .DOA0(QA[4]),
220
        .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[5]),
221
        .DOB0(QB[4]))
222
             /* synthesis MEM_LPC_FILE="textmem4k.lpc" */
223
             /* synthesis MEM_INIT_FILE="textmem2k.mem" */;
224
 
225
    VLO scuba_vlo_inst (.Z(scuba_vlo));
226
 
227
    defparam textmem4k_0_3_0.INIT_DATA = "STATIC" ;
228
    defparam textmem4k_0_3_0.ASYNC_RESET_RELEASE = "SYNC" ;
229
    defparam textmem4k_0_3_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
230
    defparam textmem4k_0_3_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
231
    defparam textmem4k_0_3_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
232
    defparam textmem4k_0_3_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
233
    defparam textmem4k_0_3_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
234
    defparam textmem4k_0_3_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
235
    defparam textmem4k_0_3_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
236
    defparam textmem4k_0_3_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
237
    defparam textmem4k_0_3_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
238
    defparam textmem4k_0_3_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
239
    defparam textmem4k_0_3_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
240
    defparam textmem4k_0_3_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
241
    defparam textmem4k_0_3_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
242
    defparam textmem4k_0_3_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
243
    defparam textmem4k_0_3_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
244
    defparam textmem4k_0_3_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
245
    defparam textmem4k_0_3_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
246
    defparam textmem4k_0_3_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
247
    defparam textmem4k_0_3_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
248
    defparam textmem4k_0_3_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
249
    defparam textmem4k_0_3_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
250
    defparam textmem4k_0_3_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
251
    defparam textmem4k_0_3_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
252
    defparam textmem4k_0_3_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
253
    defparam textmem4k_0_3_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
254
    defparam textmem4k_0_3_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
255
    defparam textmem4k_0_3_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
256
    defparam textmem4k_0_3_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
257
    defparam textmem4k_0_3_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
258
    defparam textmem4k_0_3_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
259
    defparam textmem4k_0_3_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
260
    defparam textmem4k_0_3_0.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
261
    defparam textmem4k_0_3_0.CSDECODE_B = "0b000" ;
262
    defparam textmem4k_0_3_0.CSDECODE_A = "0b000" ;
263
    defparam textmem4k_0_3_0.WRITEMODE_B = "NORMAL" ;
264
    defparam textmem4k_0_3_0.WRITEMODE_A = "NORMAL" ;
265
    defparam textmem4k_0_3_0.GSR = "ENABLED" ;
266
    defparam textmem4k_0_3_0.RESETMODE = "ASYNC" ;
267
    defparam textmem4k_0_3_0.REGMODE_B = "NOREG" ;
268
    defparam textmem4k_0_3_0.REGMODE_A = "NOREG" ;
269
    defparam textmem4k_0_3_0.DATA_WIDTH_B = 2 ;
270
    defparam textmem4k_0_3_0.DATA_WIDTH_A = 2 ;
271
    DP8KC textmem4k_0_3_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
272
        .DIA5(DataInA[7]), .DIA4(scuba_vlo), .DIA3(scuba_vlo), .DIA2(DataInA[6]),
273
        .DIA1(scuba_vlo), .DIA0(scuba_vlo), .ADA12(AddressA[11]), .ADA11(AddressA[10]),
274
        .ADA10(AddressA[9]), .ADA9(AddressA[8]), .ADA8(AddressA[7]), .ADA7(AddressA[6]),
275
        .ADA6(AddressA[5]), .ADA5(AddressA[4]), .ADA4(AddressA[3]), .ADA3(AddressA[2]),
276
        .ADA2(AddressA[1]), .ADA1(AddressA[0]), .ADA0(scuba_vlo), .CEA(ClockEnA),
277
        .OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
278
        .CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
279
        .DIB6(scuba_vlo), .DIB5(DataInB[7]), .DIB4(scuba_vlo), .DIB3(scuba_vlo),
280
        .DIB2(DataInB[6]), .DIB1(scuba_vlo), .DIB0(scuba_vlo), .ADB12(AddressB[11]),
281
        .ADB11(AddressB[10]), .ADB10(AddressB[9]), .ADB9(AddressB[8]), .ADB8(AddressB[7]),
282
        .ADB7(AddressB[6]), .ADB6(AddressB[5]), .ADB5(AddressB[4]), .ADB4(AddressB[3]),
283
        .ADB3(AddressB[2]), .ADB2(AddressB[1]), .ADB1(AddressB[0]), .ADB0(scuba_vlo),
284
        .CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
285
        .CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
286
        .DOA6(), .DOA5(), .DOA4(), .DOA3(), .DOA2(), .DOA1(QA[7]), .DOA0(QA[6]),
287
        .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(), .DOB2(), .DOB1(QB[7]),
288
        .DOB0(QB[6]))
289
             /* synthesis MEM_LPC_FILE="textmem4k.lpc" */
290
             /* synthesis MEM_INIT_FILE="textmem2k.mem" */;
291
 
292
 
293
 
294
    // exemplar begin
295
    // exemplar attribute textmem4k_0_0_3 MEM_LPC_FILE textmem4k.lpc
296
    // exemplar attribute textmem4k_0_0_3 MEM_INIT_FILE textmem2k.mem
297
    // exemplar attribute textmem4k_0_1_2 MEM_LPC_FILE textmem4k.lpc
298
    // exemplar attribute textmem4k_0_1_2 MEM_INIT_FILE textmem2k.mem
299
    // exemplar attribute textmem4k_0_2_1 MEM_LPC_FILE textmem4k.lpc
300
    // exemplar attribute textmem4k_0_2_1 MEM_INIT_FILE textmem2k.mem
301
    // exemplar attribute textmem4k_0_3_0 MEM_LPC_FILE textmem4k.lpc
302
    // exemplar attribute textmem4k_0_3_0 MEM_INIT_FILE textmem2k.mem
303
    // exemplar end
304
 
305
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.