OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_alu.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// alu for 8051 Core                                            ////
4
////                                                              ////
5
//// This file is part of the 8051 cores project                  ////
6
//// http://www.opencores.org/cores/8051/                         ////
7
////                                                              ////
8
//// Description                                                  ////
9
//// Implementation of aritmetic unit  according to               ////
10
//// 8051 IP core specification document. Uses divide.v and       ////
11
//// multiply.v                                                   ////
12
////                                                              ////
13
//// To Do:                                                       ////
14
////  pc signed add                                               ////
15
////                                                              ////
16
//// Author(s):                                                   ////
17
//// - Simon Teran, simont@opencores.org                          ////
18
////                                                              ////
19
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2001 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE. See the GNU Lesser General Public License for more  ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
//
46
// ver: 1
47
//
48
 
49
// synopsys translate_off
50
`include "oc8051_timescale.v"
51
// synopsys translate_on
52
 
53
`include "oc8051_defines.v"
54
 
55
 
56
 
57 4 markom
module oc8051_alu (clk, rst, op_code, src1, src2, src3, srcCy, srcAc, bit_in, des1, des2, desCy, desAc, desOv);
58 2 simont
//
59
// op_code      (in)  operation code [oc8051_decoder.alu_op -r]
60
// src1         (in)  first operand [oc8051_alu_src1_sel.des]
61
// src2         (in)  second operand [oc8051_alu_src2_sel.des]
62
// src3         (in)  third operand [oc8051_alu_src3_sel.des]
63
// srcCy        (in)  carry input [oc8051_cy_select.data_out]
64
// srcAc        (in)  auxiliary carry input [oc8051_psw.data_out[6] ]
65
// bit_in       (in)  bit input, used for logic operatins on bits [oc8051_ram_sel.bit_out]
66
// des1         (out) first result [oc8051_pc.alu, oc8051_ram_top.wr_data, oc8051_acc.data_in, oc8051_b_register.data_in, oc8051_comp.des -r, oc8051_sp.data_in, oc8051_dptr.data_in, oc8051_psw.data_in, oc8051_indi_addr.data_in, oc8051_rom_addr_sel.des1, oc8051_ports.data_in]
67
// des2         (out) second result [oc8051_pc.alu, oc8051_rom_addr_sel.des1]
68
// desCy        (out) carry output [oc8051_ram_top.bit_data_in, oc8051_acc.bit_in, oc8051_b_register.bit_in, oc8051_psw.cy_in, oc8051_ports.bit_in]
69
// desAc        (out) auxiliary carry output [oc8051_psw.ac_in]
70
// desOv        (out) Overflow output [oc8051_psw.ov_in]
71
//
72
 
73 4 markom
input srcCy, srcAc, bit_in, clk, rst; input [3:0] op_code; input [7:0] src1, src2, src3;
74 2 simont
output desCy, desAc, desOv;
75
output [7:0] des1, des2;
76
 
77
  reg desCy, desAc, desOv;
78
  reg [7:0] des1, des2;
79
//
80
//add
81
//
82
  reg [4:0] add1, add2, add3, add4; reg [3:0] add5, add6, add7, add8; reg [1:0] add9, adda, addb, addc;
83
 
84
//
85
//sub
86
//
87
  reg [4:0] sub1, sub2, sub3, sub4; reg [3:0] sub5, sub6, sub7, sub8; reg [1:0] sub9, suba, subb, subc;
88
 
89
//
90
//mul
91
//
92
  wire [7:0] mulsrc1, mulsrc2;
93
  wire mulOv;
94 4 markom
  reg enable_mul;
95 2 simont
 
96
//
97
//div
98
//
99
wire [7:0] divsrc1,divsrc2;
100
wire divOv;
101 4 markom
reg enable_div;
102 2 simont
 
103
//
104
//da
105
//
106
reg da_tmp;
107
//reg [8:0] da1;
108
 
109 4 markom
oc8051_multiply oc8051_mul1(.clk(clk), .rst(rst), .enable(enable_mul), .src1(src1), .src2(src2), .des1(mulsrc1), .des2(mulsrc2), .desOv(mulOv));
110
oc8051_divide oc8051_div1(.clk(clk), .rst(rst), .enable(enable_div), .src1(src1), .src2(src2), .des1(divsrc1), .des2(divsrc2), .desOv(divOv));
111 2 simont
 
112
always @(op_code or src1 or src2 or srcCy or srcAc or bit_in or src3 or mulsrc1 or mulsrc2 or mulOv or divsrc1 or divsrc2 or divOv)
113
begin
114
 
115
  case (op_code)
116
//operation add
117
    `OC8051_ALU_ADD: begin
118 4 markom
      add1 <= {1'b0,src1[3:0]};
119
      add2 <= {1'b0,src2[3:0]};
120
      add3 <= {3'b000,srcCy};
121
      add4 <= add1+add2+add3;
122 2 simont
 
123 4 markom
      add5 <= {1'b0,src1[6:4]};
124
      add6 <= {1'b0,src2[6:4]};
125
      add7 <= {1'b0,1'b0,1'b0,add4[4]};
126
      add8 <= add5+add6+add7;
127 2 simont
 
128 4 markom
      add9 <= {1'b0,src1[7]};
129
      adda <= {1'b0,src2[7]};
130
      addb <= {1'b0,add8[3]};
131
      addc <= add9+adda+addb;
132 2 simont
 
133 4 markom
      des1 <= {addc[0],add8[2:0],add4[3:0]};
134
      des2 <= src3+ {7'b0, addc[1]};
135
      desCy <= addc[1];
136
      desAc <= add4[4];
137
      desOv <= addc[1] ^ add8[3];
138 2 simont
 
139 4 markom
      enable_mul <= 1'b0;
140
      enable_div <= 1'b0;
141
 
142 2 simont
    end
143
//operation subtract
144
    `OC8051_ALU_SUB: begin
145
 
146 4 markom
      sub1 <= {1'b1,src1[3:0]};
147
      sub2 <= {1'b0,src2[3:0]};
148
      sub3 <= {1'b0,1'b0,1'b0,srcCy};
149
      sub4 <= sub1-sub2-sub3;
150 2 simont
 
151 4 markom
      sub5 <= {1'b1,src1[6:4]};
152
      sub6 <= {1'b0,src2[6:4]};
153
      sub7 <= {1'b0,1'b0,1'b0, !sub4[4]};
154
      sub8 <= sub5-sub6-sub7;
155 2 simont
 
156 4 markom
      sub9 <= {1'b1,src1[7]};
157
      suba <= {1'b0,src2[7]};
158
      subb <= {1'b0,!sub8[3]};
159
      subc <= sub9-suba-subb;
160 2 simont
 
161 4 markom
      des1 <= {subc[0],sub8[2:0],sub4[3:0]};
162
      des2 <= 8'h00;
163
      desCy <= !subc[1];
164
      desAc <= !sub4[4];
165
      desOv <= !subc[1] ^ sub8[3];
166 2 simont
 
167 4 markom
      enable_mul <= 1'b0;
168
      enable_div <= 1'b0;
169
 
170 2 simont
    end
171
//operation multiply
172
    `OC8051_ALU_MUL: begin
173 4 markom
      des1 <= mulsrc2;
174
      des2 <= mulsrc1;
175
      desOv <= mulOv;
176
      desCy <= 1'b0;
177
      desAc <= 1'bx;
178
      enable_mul <= 1'b1;
179
      enable_div <= 1'b0;
180 2 simont
    end
181
//operation divide
182
    `OC8051_ALU_DIV: begin
183 4 markom
      des1 <= divsrc2;
184
      des2 <= divsrc1;
185
      desOv <= divOv;
186
      desAc <= 1'bx;
187
      desCy <= 1'b0;
188
      enable_mul <= 1'b0;
189
      enable_div <= 1'b1;
190 2 simont
    end
191
//operation decimal adjustment
192
    `OC8051_ALU_DA: begin
193
/*      da1= {1'b0, src1};
194
      if (srcAc==1'b1 | da1[3:0]>4'b1001) da1= da1+ 9'b0_0000_0110;
195
 
196
      da1[8]= da1[8] | srcCy;
197
 
198
      if (da1[8]==1'b1) da1=da1+ 9'b0_0110_0000;
199
      des1=da1[7:0];
200
      des2=8'h00;
201
      desCy=da1[8];*/
202
 
203 4 markom
      if (srcAc==1'b1 | src1[3:0]>4'b1001) {da_tmp, des1[3:0]} <= {1'b0, src1[3:0]}+ 5'b00110;
204 2 simont
      else {da_tmp, des1[3:0]}= {1'b0, src1[3:0]};
205
 
206 4 markom
      if (srcCy==1'b1 | src1[7:4]>4'b1001)
207
        {desCy, des1[7:4]} <= {srcCy, src1[7:4]}+ 5'b00110 + {4'b0, da_tmp};
208
      else {desCy, des1[7:4]} <= {srcCy, src1[7:4]} + {4'b0, da_tmp};
209 2 simont
 
210 4 markom
      des2 <= 8'h00;
211
      desAc <= 1'b0;
212
      desOv <= 1'b0;
213
      enable_mul <= 1'b0;
214
      enable_div <= 1'b0;
215 2 simont
    end
216
//operation not
217
// bit operation not
218
    `OC8051_ALU_NOT: begin
219 4 markom
      des1 <= ~src1;
220
      des2 <= 8'h00;
221
      desCy <= !srcCy;
222
      desAc <= 1'bx;
223
      desOv <= 1'bx;
224
      enable_mul <= 1'b0;
225
      enable_div <= 1'b0;
226 2 simont
    end
227
//operation and
228
//bit operation and
229
    `OC8051_ALU_AND: begin
230 4 markom
      des1 <= src1 & src2;
231
      des2 <= 8'h00;
232
      desCy <= srcCy & bit_in;
233
      desAc <= 1'bx;
234
      desOv <= 1'bx;
235
      enable_mul <= 1'b0;
236
      enable_div <= 1'b0;
237 2 simont
    end
238
//operation xor
239
// bit operation xor
240
    `OC8051_ALU_XOR: begin
241 4 markom
      des1 <= src1 ^ src2;
242
      des2 <= 8'h00;
243
      desCy <= srcCy ^ bit_in;
244
      desAc <= 1'bx;
245
      desOv <= 1'bx;
246
      enable_mul <= 1'b0;
247
      enable_div <= 1'b0;
248 2 simont
    end
249
//operation or
250
// bit operation or
251
    `OC8051_ALU_OR: begin
252 4 markom
      des1 <= src1 | src2;
253
      des2 <= 8'h00;
254
      desCy <= srcCy | bit_in;
255
      desAc <= 1'bx;
256
      desOv <= 1'bx;
257
      enable_mul <= 1'b0;
258
      enable_div <= 1'b0;
259 2 simont
    end
260
//operation rotate left
261
// bit operation cy= cy or (not ram)
262
    `OC8051_ALU_RL: begin
263 4 markom
      des1 <= {src1[6:0], src1[7]};
264
      des2 <= 8'h00;
265
      desCy <= srcCy | !bit_in;
266
      desAc <= 1'bx;
267
      desOv <= 1'bx;
268
      enable_mul <= 1'b0;
269
      enable_div <= 1'b0;
270 2 simont
    end
271
//operation rotate left with carry and swap nibbles
272
    `OC8051_ALU_RLC: begin
273 4 markom
      des1 <= {src1[6:0], srcCy};
274
      des2 <= {src1[3:0], src1[7:4]};
275
      desCy <= src1[7];
276
      desAc <= 1'b0;
277
      desOv <= 1'b0;
278
      enable_mul <= 1'b0;
279
      enable_div <= 1'b0;
280 2 simont
    end
281
//operation rotate right
282
    `OC8051_ALU_RR: begin
283 4 markom
      des1 <= {src1[0], src1[7:1]};
284
      des2 <= 8'h00;
285
      desCy <= srcCy & !bit_in;
286
      desAc <= 1'b0;
287
      desOv <= 1'b0;
288
      enable_mul <= 1'b0;
289
      enable_div <= 1'b0;
290 2 simont
    end
291
//operation rotate right with carry
292
    `OC8051_ALU_RRC: begin
293 4 markom
      des1 <= {srcCy, src1[7:1]};
294
      des2 <= 8'h00;
295
      desCy <= src1[0];
296
      desAc <= 1'b0;
297
      desOv <= 1'b0;
298
      enable_mul <= 1'b0;
299
      enable_div <= 1'b0;
300 2 simont
    end
301
//operation pcs Add
302
    `OC8051_ALU_PCS: begin
303
       case (src1[7])
304
        1'b1: begin
305 4 markom
          des1 <= src2+src1;
306
          des2 <= src3;
307 2 simont
        end
308 4 markom
        default: {des2, des1} <= {src3,src2} + {8'h00, src1};
309 2 simont
      endcase
310 4 markom
      desCy <= 1'b0;
311
      desAc <= 1'b0;
312
      desOv <= 1'b0;
313
      enable_mul <= 1'b0;
314
      enable_div <= 1'b0;
315 2 simont
    end
316
//operation exchange
317
//if carry = 0 exchange low order digit
318
    `OC8051_ALU_XCH: begin
319
      if (srcCy)
320
      begin
321 4 markom
        des1 <= src2;
322
        des2 <= src1;
323 2 simont
      end else begin
324 4 markom
        des1 <= {src1[7:4],src2[3:0]};
325
        des2 <= {src2[7:4],src1[3:0]};
326 2 simont
      end
327 4 markom
      desCy <= 1'b0;
328
      desAc <= 1'b0;
329
      desOv <= 1'b0;
330
      enable_mul <= 1'b0;
331
      enable_div <= 1'b0;
332 2 simont
    end
333
    default: begin
334 4 markom
      des1 <= src1;
335
      des2 <= src2;
336
      desCy <= srcCy;
337
      desAc <= srcAc;
338
      desOv <=1'bx;
339
      enable_mul <= 1'b0;
340
      enable_div <= 1'b0;
341 2 simont
    end
342
  endcase
343
 
344
end
345
 
346
 
347
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.