OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_b_register.v] - Blame information for rev 116

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores b register                                       ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   b register for 8051 core                                   ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 116 simont
// Revision 1.7  2003/01/13 14:14:40  simont
48
// replace some modules
49
//
50 82 simont
// Revision 1.6  2002/09/30 17:33:59  simont
51
// prepared header
52
//
53
//
54
 
55
// synopsys translate_off
56
`include "oc8051_timescale.v"
57
// synopsys translate_on
58
 
59
`include "oc8051_defines.v"
60
 
61
 
62 116 simont
module oc8051_b_register (clk, rst, bit_in, data_in, wr, wr_bit,
63
              wr_addr, data_out, wr_sfr);
64 82 simont
 
65
 
66
input clk, rst, wr, wr_bit, bit_in;
67 116 simont
input [2:0] wr_sfr;
68 82 simont
input [7:0] wr_addr, data_in;
69
 
70
output [7:0] data_out;
71
 
72
reg [7:0] data_out;
73
 
74
//
75
//writing to b
76
//must check if write high and correct address
77
always @(posedge clk or posedge rst)
78
begin
79
  if (rst)
80
    data_out <= #1 `OC8051_RST_B;
81
  else if (wr_sfr==`OC8051_WRS_BA)
82
    data_out <= #1 data_in;
83
  else if (wr) begin
84
    if (!wr_bit) begin
85
      if (wr_addr==`OC8051_SFR_B)
86
        data_out <= #1 data_in;
87
    end else begin
88
      if (wr_addr[7:3]==`OC8051_SFR_B_B)
89
        data_out[wr_addr[2:0]] <= #1 bit_in;
90
    end
91
  end
92
end
93
 
94
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.