OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_defines.v] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores Definitions                                      ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////      - Jaka Simsic, jakas@opencores.org                      ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// ver: 1
46
//
47
 
48 67 simont
//
49 120 simont
// oc8051 pherypherals
50
//
51
`define OC8051_UART
52
`define OC8051_TC01
53
`define OC8051_TC2
54
`define OC8051_PORTS  //ports global enable
55
`define OC8051_PORT0
56
`define OC8051_PORT1
57
`define OC8051_PORT2
58
`define OC8051_PORT3
59
 
60
 
61 122 simont
//
62
// oc8051 ITERNAL ROM
63
//
64 149 simont
//`define OC8051_ROM
65 120 simont
 
66 122 simont
 
67 120 simont
//
68 93 simont
// oc8051 memory
69 67 simont
//
70 82 simont
//`define OC8051_CACHE
71 149 simont
//`define OC8051_WB
72 174 simont
 
73
//`define OC8051_RAM_XILINX
74
//`define OC8051_RAM_VIRTUALSILICON
75 179 simont
`define OC8051_RAM_GENERIC
76 174 simont
 
77
 
78 114 simont
`define OC8051_XILINX_ROM
79 2 simont
 
80
//
81 149 simont
// oc8051 simulation defines
82
//
83
//`define OC8051_SIMULATION
84
//`define OC8051_SERIAL
85
 
86
//
87 172 simont
// oc8051 bist
88
//
89
//`define OC8051_BIST
90
 
91
 
92
//
93 2 simont
// operation codes for alu
94
//
95
 
96
 
97
`define OC8051_ALU_NOP 4'b0000
98
`define OC8051_ALU_ADD 4'b0001
99
`define OC8051_ALU_SUB 4'b0010
100
`define OC8051_ALU_MUL 4'b0011
101
`define OC8051_ALU_DIV 4'b0100
102
`define OC8051_ALU_DA 4'b0101
103
`define OC8051_ALU_NOT 4'b0110
104
`define OC8051_ALU_AND 4'b0111
105
`define OC8051_ALU_XOR 4'b1000
106
`define OC8051_ALU_OR 4'b1001
107
`define OC8051_ALU_RL 4'b1010
108
`define OC8051_ALU_RLC 4'b1011
109
`define OC8051_ALU_RR 4'b1100
110
`define OC8051_ALU_RRC 4'b1101
111 139 simont
`define OC8051_ALU_INC 4'b1110
112 2 simont
`define OC8051_ALU_XCH 4'b1111
113
 
114
//
115
// sfr addresses
116
//
117
 
118
`define OC8051_SFR_ACC 8'he0 //accumulator
119
`define OC8051_SFR_B 8'hf0 //b register
120
`define OC8051_SFR_PSW 8'hd0 //program status word
121
`define OC8051_SFR_P0 8'h80 //port 0
122
`define OC8051_SFR_P1 8'h90 //port 1
123
`define OC8051_SFR_P2 8'ha0 //port 2
124
`define OC8051_SFR_P3 8'hb0 //port 3
125
`define OC8051_SFR_DPTR_LO 8'h82 // data pointer high bits
126
`define OC8051_SFR_DPTR_HI 8'h83 // data pointer low bits
127 82 simont
`define OC8051_SFR_IP0 8'hb8 // interrupt priority
128
`define OC8051_SFR_IEN0 8'ha8 // interrupt enable 0
129 2 simont
`define OC8051_SFR_TMOD 8'h89 // timer/counter mode
130
`define OC8051_SFR_TCON 8'h88 // timer/counter control
131
`define OC8051_SFR_TH0 8'h8c // timer/counter 0 high bits
132
`define OC8051_SFR_TL0 8'h8a // timer/counter 0 low bits
133
`define OC8051_SFR_TH1 8'h8d // timer/counter 1 high bits
134
`define OC8051_SFR_TL1 8'h8b // timer/counter 1 low bits
135 82 simont
 
136
`define OC8051_SFR_SCON 8'h98 // serial control 0
137
`define OC8051_SFR_SBUF 8'h99 // serial data buffer 0
138
`define OC8051_SFR_SADDR 8'ha9 // serila address register 0
139
`define OC8051_SFR_SADEN 8'hb9 // serila address enable 0
140
 
141 2 simont
`define OC8051_SFR_PCON 8'h87 // power control
142
`define OC8051_SFR_SP 8'h81 // stack pointer
143
 
144 82 simont
 
145
 
146
`define OC8051_SFR_IE 8'ha8 // interrupt enable
147
`define OC8051_SFR_IP 8'hb7 // interrupt priority
148
 
149
`define OC8051_SFR_RCAP2H 8'hcb // timer 2 capture high
150
`define OC8051_SFR_RCAP2L 8'hca // timer 2 capture low
151
 
152
`define OC8051_SFR_T2CON 8'hc8 // timer 2 control register
153
`define OC8051_SFR_TH2 8'hcd // timer 2 high
154
`define OC8051_SFR_TL2 8'hcc // timer 2 low
155
 
156
 
157
 
158 2 simont
//
159
// sfr bit addresses
160
//
161
`define OC8051_SFR_B_ACC 5'b11100 //accumulator
162
`define OC8051_SFR_B_PSW 5'b11010 //program status word
163
`define OC8051_SFR_B_P0  5'b10000 //port 0
164
`define OC8051_SFR_B_P1  5'b10010 //port 1
165
`define OC8051_SFR_B_P2  5'b10100 //port 2
166
`define OC8051_SFR_B_P3  5'b10110 //port 3
167
`define OC8051_SFR_B_B   5'b11110 // b register
168 82 simont
`define OC8051_SFR_B_IP  5'b10111 // interrupt priority control 0
169
`define OC8051_SFR_B_IE  5'b10101 // interrupt enable control 0
170 2 simont
`define OC8051_SFR_B_SCON 5'b10011 // serial control
171 82 simont
`define OC8051_SFR_B_TCON  5'b10001 // timer/counter control
172
`define OC8051_SFR_B_T2CON 5'b11001 // timer/counter2 control
173 2 simont
 
174
 
175
//
176
//carry input in alu
177
//
178
`define OC8051_CY_0 2'b00 // 1'b0;
179
`define OC8051_CY_PSW 2'b01 // carry from psw
180
`define OC8051_CY_RAM 2'b10 // carry from ram
181
`define OC8051_CY_1 2'b11 // 1'b1;
182
`define OC8051_CY_DC 2'b00 // carry from psw
183
 
184
//
185
// instruction set
186
//
187
 
188
//op_code [4:0]
189
`define OC8051_ACALL 8'bxxx1_0001 // absolute call
190
`define OC8051_AJMP 8'bxxx0_0001 // absolute jump
191
 
192
//op_code [7:3]
193
`define OC8051_ADD_R 8'b0010_1xxx // add A=A+Rx
194
`define OC8051_ADDC_R 8'b0011_1xxx // add A=A+Rx+c
195
`define OC8051_ANL_R 8'b0101_1xxx // and A=A^Rx
196
`define OC8051_CJNE_R 8'b1011_1xxx // compare and jump if not equal; Rx<>constant
197
`define OC8051_DEC_R 8'b0001_1xxx // decrement reg Rn=Rn-1
198
`define OC8051_DJNZ_R 8'b1101_1xxx // decrement and jump if not zero
199
`define OC8051_INC_R 8'b0000_1xxx // increment Rn
200
`define OC8051_MOV_R 8'b1110_1xxx // move A=Rn
201
`define OC8051_MOV_AR 8'b1111_1xxx // move Rn=A
202
`define OC8051_MOV_DR 8'b1010_1xxx // move Rn=(direct)
203
`define OC8051_MOV_CR 8'b0111_1xxx // move Rn=constant
204
`define OC8051_MOV_RD 8'b1000_1xxx // move (direct)=Rn
205
`define OC8051_ORL_R 8'b0100_1xxx // or A=A or Rn
206
`define OC8051_SUBB_R 8'b1001_1xxx // substract with borrow  A=A-c-Rn
207
`define OC8051_XCH_R 8'b1100_1xxx // exchange A<->Rn
208
`define OC8051_XRL_R 8'b0110_1xxx // XOR A=A XOR Rn
209
 
210
//op_code [7:1]
211
`define OC8051_ADD_I 8'b0010_011x // add A=A+@Ri
212
`define OC8051_ADDC_I 8'b0011_011x // add A=A+@Ri+c
213
`define OC8051_ANL_I 8'b0101_011x // and A=A^@Ri
214
`define OC8051_CJNE_I 8'b1011_011x // compare and jump if not equal; @Ri<>constant
215
`define OC8051_DEC_I 8'b0001_011x // decrement indirect @Ri=@Ri-1
216
`define OC8051_INC_I 8'b0000_011x // increment @Ri
217
`define OC8051_MOV_I 8'b1110_011x // move A=@Ri
218
`define OC8051_MOV_ID 8'b1000_011x // move (direct)=@Ri
219
`define OC8051_MOV_AI 8'b1111_011x // move @Ri=A
220
`define OC8051_MOV_DI 8'b1010_011x // move @Ri=(direct)
221
`define OC8051_MOV_CI 8'b0111_011x // move @Ri=constant
222
`define OC8051_MOVX_IA 8'b1110_001x // move A=(@Ri)
223
`define OC8051_MOVX_AI 8'b1111_001x // move (@Ri)=A
224
`define OC8051_ORL_I 8'b0100_011x // or A=A or @Ri
225
`define OC8051_SUBB_I 8'b1001_011x // substract with borrow  A=A-c-@Ri
226
`define OC8051_XCH_I 8'b1100_011x // exchange A<->@Ri
227
`define OC8051_XCHD 8'b1101_011x // exchange digit A<->Ri
228
`define OC8051_XRL_I 8'b0110_011x // XOR A=A XOR @Ri
229
 
230
//op_code [7:0]
231
`define OC8051_ADD_D 8'b0010_0101 // add A=A+(direct)
232
`define OC8051_ADD_C 8'b0010_0100 // add A=A+constant
233
`define OC8051_ADDC_D 8'b0011_0101 // add A=A+(direct)+c
234
`define OC8051_ADDC_C 8'b0011_0100 // add A=A+constant+c
235
`define OC8051_ANL_D 8'b0101_0101 // and A=A^(direct)
236
`define OC8051_ANL_C 8'b0101_0100 // and A=A^constant
237
`define OC8051_ANL_DD 8'b0101_0010 // and (direct)=(direct)^A
238
`define OC8051_ANL_DC 8'b0101_0011 // and (direct)=(direct)^constant
239
`define OC8051_ANL_B 8'b1000_0010 // and c=c^bit
240
`define OC8051_ANL_NB 8'b1011_0000 // and c=c^!bit
241
`define OC8051_CJNE_D 8'b1011_0101 // compare and jump if not equal; a<>(direct)
242
`define OC8051_CJNE_C 8'b1011_0100 // compare and jump if not equal; a<>constant
243
`define OC8051_CLR_A 8'b1110_0100 // clear accumulator
244
`define OC8051_CLR_C 8'b1100_0011 // clear carry
245
`define OC8051_CLR_B 8'b1100_0010 // clear bit
246
`define OC8051_CPL_A 8'b1111_0100 // complement accumulator
247
`define OC8051_CPL_C 8'b1011_0011 // complement carry
248
`define OC8051_CPL_B 8'b1011_0010 // complement bit
249
`define OC8051_DA 8'b1101_0100 // decimal adjust (A)
250
`define OC8051_DEC_A 8'b0001_0100 // decrement accumulator a=a-1
251
`define OC8051_DEC_D 8'b0001_0101 // decrement direct (direct)=(direct)-1
252
`define OC8051_DIV 8'b1000_0100 // divide
253
`define OC8051_DJNZ_D 8'b1101_0101 // decrement and jump if not zero (direct)
254
`define OC8051_INC_A 8'b0000_0100 // increment accumulator
255
`define OC8051_INC_D 8'b0000_0101 // increment (direct)
256
`define OC8051_INC_DP 8'b1010_0011 // increment data pointer
257
`define OC8051_JB 8'b0010_0000 // jump if bit set
258
`define OC8051_JBC 8'b0001_0000 // jump if bit set and clear bit
259
`define OC8051_JC 8'b0100_0000 // jump if carry is set
260 82 simont
`define OC8051_JMP_D 8'b0111_0011 // jump indirect
261 2 simont
`define OC8051_JNB 8'b0011_0000 // jump if bit not set
262
`define OC8051_JNC 8'b0101_0000 // jump if carry not set
263
`define OC8051_JNZ 8'b0111_0000 // jump if accumulator not zero
264
`define OC8051_JZ 8'b0110_0000 // jump if accumulator zero
265
`define OC8051_LCALL 8'b0001_0010 // long call
266
`define OC8051_LJMP 8'b0000_0010 // long jump
267
`define OC8051_MOV_D 8'b1110_0101 // move A=(direct)
268
`define OC8051_MOV_C 8'b0111_0100 // move A=constant
269
`define OC8051_MOV_DA 8'b1111_0101 // move (direct)=A
270
`define OC8051_MOV_DD 8'b1000_0101 // move (direct)=(direct)
271
`define OC8051_MOV_CD 8'b0111_0101 // move (direct)=constant
272
`define OC8051_MOV_BC 8'b1010_0010 // move c=bit
273
`define OC8051_MOV_CB 8'b1001_0010 // move bit=c
274
`define OC8051_MOV_DP 8'b1001_0000 // move dptr=constant(16 bit)
275
`define OC8051_MOVC_DP 8'b1001_0011 // move A=dptr+A
276
`define OC8051_MOVC_PC 8'b1000_0011 // move A=pc+A
277
`define OC8051_MOVX_PA 8'b1110_0000 // move A=(dptr)
278
`define OC8051_MOVX_AP 8'b1111_0000 // move (dptr)=A
279
`define OC8051_MUL 8'b1010_0100 // multiply a*b
280
`define OC8051_NOP 8'b0000_0000 // no operation
281
`define OC8051_ORL_D 8'b0100_0101 // or A=A or (direct)
282
`define OC8051_ORL_C 8'b0100_0100 // or A=A or constant
283
`define OC8051_ORL_AD 8'b0100_0010 // or (direct)=(direct) or A
284
`define OC8051_ORL_CD 8'b0100_0011 // or (direct)=(direct) or constant
285
`define OC8051_ORL_B 8'b0111_0010 // or c = c or bit
286
`define OC8051_ORL_NB 8'b1010_0000 // or c = c or !bit
287
`define OC8051_POP 8'b1101_0000 // stack pop
288
`define OC8051_PUSH 8'b1100_0000 // stack push
289
`define OC8051_RET 8'b0010_0010 // return from subrutine
290
`define OC8051_RETI 8'b0011_0010 // return from interrupt
291
`define OC8051_RL 8'b0010_0011 // rotate left
292
`define OC8051_RLC 8'b0011_0011 // rotate left thrugh carry
293
`define OC8051_RR 8'b0000_0011 // rotate right
294
`define OC8051_RRC 8'b0001_0011 // rotate right thrugh carry
295
`define OC8051_SETB_C 8'b1101_0011 // set carry
296
`define OC8051_SETB_B 8'b1101_0010 // set bit
297
`define OC8051_SJMP 8'b1000_0000 // short jump
298
`define OC8051_SUBB_D 8'b1001_0101 // substract with borrow  A=A-c-(direct)
299
`define OC8051_SUBB_C 8'b1001_0100 // substract with borrow  A=A-c-constant
300
`define OC8051_SWAP 8'b1100_0100 // swap A(0-3) <-> A(4-7)
301
`define OC8051_XCH_D 8'b1100_0101 // exchange A<->(direct)
302
`define OC8051_XRL_D 8'b0110_0101 // XOR A=A XOR (direct)
303
`define OC8051_XRL_C 8'b0110_0100 // XOR A=A XOR constant
304
`define OC8051_XRL_AD 8'b0110_0010 // XOR (direct)=(direct) XOR A
305
`define OC8051_XRL_CD 8'b0110_0011 // XOR (direct)=(direct) XOR constant
306
 
307
 
308
//
309
// default values (used after reset)
310
//
311 82 simont
`define OC8051_RST_PC 23'h0 // program counter
312 2 simont
`define OC8051_RST_ACC 8'h00 // accumulator
313
`define OC8051_RST_B 8'h00 // b register
314
`define OC8051_RST_PSW 8'h00 // program status word
315
`define OC8051_RST_SP 8'b0000_0111 // stack pointer
316
`define OC8051_RST_DPH 8'h00 // data pointer (high)
317
`define OC8051_RST_DPL 8'h00 // data pointer (low)
318
`define OC8051_RST_P0 8'b1111_1111 // port 0
319
`define OC8051_RST_P1 8'b1111_1111 // port 1
320
`define OC8051_RST_P2 8'b1111_1111 // port 2
321
`define OC8051_RST_P3 8'b1111_1111 // port 3
322
`define OC8051_RST_IP 8'b0000_0000 // interrupt priority
323
`define OC8051_RST_IE 8'b0000_0000 // interrupt enable
324
`define OC8051_RST_TMOD 8'b0000_0000 // timer/counter mode control
325
`define OC8051_RST_TCON 8'b0000_0000 // timer/counter control
326
`define OC8051_RST_TH0 8'b0000_0000 // timer/counter 0 high bits
327
`define OC8051_RST_TL0 8'b0000_0000 // timer/counter 0 low bits
328
`define OC8051_RST_TH1 8'b0000_0000 // timer/counter 1 high bits
329
`define OC8051_RST_TL1 8'b0000_0000 // timer/counter 1 low bits
330
`define OC8051_RST_SCON 8'b0000_0000 // serial control
331
`define OC8051_RST_SBUF 8'b0000_0000 // serial data buffer
332
`define OC8051_RST_PCON 8'b0000_0000 // power control register
333
 
334 82 simont
 
335
 
336
`define OC8051_RST_RCAP2H 8'h00 // timer 2 capture high
337
`define OC8051_RST_RCAP2L 8'h00 // timer 2 capture low
338
 
339
`define OC8051_RST_T2CON 8'h00 // timer 2 control register
340
`define OC8051_RST_T2MOD 8'h00 // timer 2 mode control
341
`define OC8051_RST_TH2 8'h00 // timer 2 high
342
`define OC8051_RST_TL2 8'h00 // timer 2 low
343
 
344
 
345 2 simont
//
346 82 simont
// alu source 1 select
347
//
348
`define OC8051_AS1_RAM  3'b000 // RAM
349
`define OC8051_AS1_OP1  3'b111 //
350
`define OC8051_AS1_OP2  3'b001 //
351
`define OC8051_AS1_OP3  3'b010 //
352
`define OC8051_AS1_ACC  3'b011 // accumulator
353
`define OC8051_AS1_PCH  3'b100 //
354
`define OC8051_AS1_PCL  3'b101 //
355
`define OC8051_AS1_DC   3'b000 //
356
 
357
//
358
// alu source 2 select
359
//
360 141 simont
`define OC8051_AS2_RAM   3'b00 // RAM
361
`define OC8051_AS2_ACC   3'b01 // accumulator
362
`define OC8051_AS2_ZERO  3'b10 // 8'h00
363
`define OC8051_AS2_OP2   3'b11 //
364 82 simont
 
365 141 simont
`define OC8051_AS2_DC    3'b00 //
366 82 simont
 
367
//
368
// alu source 3 select
369
//
370
`define OC8051_AS3_DP   1'b0 // data pointer
371
`define OC8051_AS3_PC   1'b1 // program clunter
372
//`define OC8051_AS3_PCU  3'b101 // program clunter not registered
373
`define OC8051_AS3_DC   1'b0  //
374
 
375
 
376
//
377
//write sfr
378
//
379 118 simont
`define OC8051_WRS_N    2'b00  //no
380
`define OC8051_WRS_ACC1 2'b01  // acc destination 1
381
`define OC8051_WRS_ACC2 2'b10  // acc destination 2
382
`define OC8051_WRS_DPTR 2'b11  // data pointer
383 82 simont
 
384
 
385
//
386 2 simont
// ram read select
387
//
388
 
389 82 simont
`define OC8051_RRS_RN   3'b000 // registers
390
`define OC8051_RRS_I    3'b001 // indirect addressing (op2)
391
`define OC8051_RRS_D    3'b010 // direct addressing
392
`define OC8051_RRS_SP   3'b011 // stack pointer
393 2 simont
 
394 82 simont
`define OC8051_RRS_B    3'b100 // b register
395
`define OC8051_RRS_DPTR 3'b101 // data pointer
396 132 simont
`define OC8051_RRS_PSW  3'b110 // program status word
397
`define OC8051_RRS_ACC  3'b111 // acc
398 82 simont
 
399
`define OC8051_RRS_DC 3'b000 // don't c
400
 
401 2 simont
//
402
// ram write select
403
//
404
 
405
`define OC8051_RWS_RN 3'b000 // registers
406 82 simont
`define OC8051_RWS_D  3'b001 // direct addressing
407
`define OC8051_RWS_I  3'b010 // indirect addressing
408 2 simont
`define OC8051_RWS_SP 3'b011 // stack pointer
409
`define OC8051_RWS_D3 3'b101 // direct address (op3)
410 82 simont
`define OC8051_RWS_D1 3'b110 // direct address (op1)
411 118 simont
`define OC8051_RWS_B  3'b111 // b register
412 2 simont
`define OC8051_RWS_DC 3'b000 //
413
 
414
//
415
// pc in select
416
//
417 82 simont
`define OC8051_PIS_DC  3'b000 // dont c
418
`define OC8051_PIS_AL  3'b000 // alu low
419
`define OC8051_PIS_AH  3'b001 // alu high
420 132 simont
`define OC8051_PIS_SO1 3'b010 // relative address, op1
421
`define OC8051_PIS_SO2 3'b011 // relative address, op2
422
`define OC8051_PIS_I11 3'b100 // 11 bit immediate
423
`define OC8051_PIS_I16 3'b101 // 16 bit immediate
424
`define OC8051_PIS_ALU 3'b110 // alu destination {des2, des1}
425 2 simont
 
426
//
427
// compare source select
428
//
429 82 simont
`define OC8051_CSS_AZ  2'b00 // eq = accumulator == zero
430 9 markom
`define OC8051_CSS_DES 2'b01 // eq = destination == zero
431 82 simont
`define OC8051_CSS_CY  2'b10 // eq = cy
432 9 markom
`define OC8051_CSS_BIT 2'b11 // eq = b_in
433 132 simont
`define OC8051_CSS_DC  2'b01 // don't care
434 2 simont
 
435
 
436
//
437
// pc Write
438
//
439
`define OC8051_PCW_N 1'b0 // not
440
`define OC8051_PCW_Y 1'b1 // yes
441
 
442
//
443
//psw set
444
//
445
`define OC8051_PS_NOT 2'b00 // DONT
446
`define OC8051_PS_CY 2'b01 // only carry
447
`define OC8051_PS_OV 2'b10 // carry and overflov
448
`define OC8051_PS_AC 2'b11 // carry, overflov an ac...
449
 
450
//
451
// rom address select
452
//
453
`define OC8051_RAS_PC 1'b0 // program counter
454
`define OC8051_RAS_DES 1'b1 // alu destination
455
 
456 82 simont
////
457
//// write accumulator
458
////
459
//`define OC8051_WA_N 1'b0 // not
460
//`define OC8051_WA_Y 1'b1 // yes
461 2 simont
 
462
 
463
//
464 82 simont
//memory action select
465 2 simont
//
466 82 simont
`define OC8051_MAS_DPTR_R 3'b000 // read from external rom: acc=(dptr)
467
`define OC8051_MAS_DPTR_W 3'b001 // write to external rom: (dptr)=acc
468
`define OC8051_MAS_RI_R   3'b010 // read from external rom: acc=(Ri)
469
`define OC8051_MAS_RI_W   3'b011 // write to external rom: (Ri)=acc
470
`define OC8051_MAS_CODE   3'b100 // read from program memory
471
`define OC8051_MAS_NO     3'b111 // no action
472 2 simont
 
473
 
474
////////////////////////////////////////////////////
475
 
476
//
477
// Timer/Counter modes
478
//
479
 
480
`define OC8051_MODE0 2'b00  // mode 0
481
`define OC8051_MODE1 2'b01  // mode 0
482
`define OC8051_MODE2 2'b10  // mode 0
483
`define OC8051_MODE3 2'b11  // mode 0
484
 
485
 
486
//
487
// Interrupt numbers (vectors)
488
//
489
 
490 82 simont
`define OC8051_INT_X0   8'h03  // external interrupt 0
491 2 simont
`define OC8051_INT_T0   8'h0b  // T/C 0 owerflow interrupt
492 82 simont
`define OC8051_INT_X1   8'h13  // external interrupt 1
493 2 simont
`define OC8051_INT_T1   8'h1b  // T/C 1 owerflow interrupt
494 82 simont
`define OC8051_INT_UART 8'h23  // uart interrupt
495
`define OC8051_INT_T2   8'h2b  // T/C 2 owerflow interrupt
496 2 simont
 
497 82 simont
 
498 2 simont
//
499
// interrupt levels
500
//
501
 
502 82 simont
`define OC8051_ILEV_L0 1'b0  // interrupt on level 0
503
`define OC8051_ILEV_L1 1'b1  // interrupt on level 1
504 2 simont
 
505
//
506
// interrupt sources
507
//
508
`define OC8051_ISRC_NO   3'b000  // no interrupts
509
`define OC8051_ISRC_IE0  3'b001  // EXTERNAL INTERRUPT 0
510
`define OC8051_ISRC_TF0  3'b010  // t/c owerflov 0
511
`define OC8051_ISRC_IE1  3'b011  // EXTERNAL INTERRUPT 1
512
`define OC8051_ISRC_TF1  3'b100  // t/c owerflov 1
513 82 simont
`define OC8051_ISRC_UART 3'b101  // UART  Interrupt
514
`define OC8051_ISRC_T2   3'b110  // t/c owerflov 2
515 2 simont
 
516
 
517
 
518
//
519
// miscellaneus
520
//
521
 
522
`define OC8051_RW0 1'b1
523
`define OC8051_RW1 1'b0
524
 
525
 
526
//
527
// read modify write instruction
528
//
529
 
530
`define OC8051_RMW_Y 1'b1  // yes
531
`define OC8051_RMW_N 1'b0  // no
532 82 simont
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.