OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_divide.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// divide for 8051 Core                                         ////
4
////                                                              ////
5
//// This file is part of the 8051 cores project                  ////
6
//// http://www.opencores.org/cores/8051/                         ////
7
////                                                              ////
8
//// Description                                                  ////
9 4 markom
//// Two cycle implementation of division used in alu.v           ////
10 2 simont
////                                                              ////
11
//// To Do:                                                       ////
12 4 markom
////  check if compiler does proper optimizations of the code     ////
13 2 simont
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Simon Teran, simont@opencores.org                          ////
16 4 markom
//// - Marko Mlinar, markom@opencores.org                         ////
17 2 simont
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE. See the GNU Lesser General Public License for more  ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// ver: 1
46
//
47 4 markom
// ver: 2 markom
48
// changed nonsynthesizable version to two cycle divison
49 2 simont
 
50
// synopsys translate_off
51
`include "oc8051_timescale.v"
52
// synopsys translate_on
53
 
54 4 markom
module oc8051_divide (clk, rst, enable, src1, src2, des1, des2, desOv);
55 2 simont
//
56
// this module is part of alu
57 4 markom
// clk          (in)
58
// rst          (in)
59
// enable       (in)  starts divison
60 2 simont
// src1         (in)  first operand
61
// src2         (in)  second operand
62
// des1         (out) first result
63
// des2         (out) second result
64
// desOv        (out) Overflow output
65
//
66
 
67 4 markom
input clk, rst, enable;
68 2 simont
input [7:0] src1, src2;
69
output desOv;
70
output [7:0] des1, des2;
71
 
72 4 markom
// wires
73
reg desOv;
74 20 markom
wire div0, div1;
75
wire [7:0] rem1, rem2;
76
wire [15:0] cmp0, cmp1;
77 4 markom
reg [7:0] div_out, rem_out;
78 5 markom
wire [7:0] div;
79 2 simont
 
80 4 markom
// real registers
81 25 markom
reg [1:0] cycle;
82 20 markom
reg [5:0] tmp_div;
83 4 markom
reg [7:0] tmp_rem;
84 2 simont
 
85 20 markom
/* This logic is very redundant, but it should be optimized by
86 5 markom
   synthesizer */
87 25 markom
assign cmp1 = src2 << ({2'h3 - cycle, 1'b0} + 3'h1);
88
assign cmp0 = src2 << ({2'h3 - cycle, 1'b0} + 3'h0);
89 2 simont
 
90 20 markom
assign rem2 = cycle != 0 ? tmp_rem : src1;
91 5 markom
assign div1 = cmp1 <= rem2;
92 25 markom
assign rem1 = rem2 - (div1 ? cmp1[7:0] : 8'h0);
93 5 markom
assign div0 = cmp0 <= rem1;
94 20 markom
 
95 4 markom
//
96 20 markom
// in clock cycle 0 we first calculate two MSB bits, ...
97
// till finally in clock cycle 3 we calculate two LSB bits
98
always @(rem1 or div0 or cmp0 or tmp_div or src2)
99 4 markom
begin
100 5 markom
  if (src2 == 8'h0) begin
101
    desOv = 1'b1;
102
    div_out = 8'hx;
103
    rem_out = 8'hx;
104 4 markom
  end else begin
105 5 markom
    desOv = 1'b0;
106
    rem_out = rem1 - (div0 ? cmp0[7:0] : 8'h0);
107 25 markom
    div_out = {tmp_div, div1, div0};
108 4 markom
  end
109
end
110 2 simont
 
111 4 markom
//
112 20 markom
// divider works in four clock cycles -- 0, 1, 2 and 3
113 4 markom
always @(posedge clk or posedge rst)
114
begin
115
  if (rst) begin
116 25 markom
    cycle <= #1 2'b0;
117 20 markom
    tmp_div <= #1 6'h0;
118 4 markom
    tmp_rem <= #1 8'h0;
119
  end else begin
120 25 markom
    if (enable) cycle <= #1 cycle + 2'b1;
121
    tmp_div <= #1 div_out[5:0];
122 4 markom
    tmp_rem <= #1 rem_out;
123
  end
124
end
125 2 simont
 
126 4 markom
//
127
// assign outputs
128
assign des1 = rem_out;
129
assign des2 = div_out;
130 2 simont
 
131 4 markom
endmodule
132 2 simont
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.