OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_psw.v] - Blame information for rev 82

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 76 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 program status word                                    ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   program status word                                        ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 82 simont
// Revision 1.8  2002/11/05 17:23:54  simont
48
// add module oc8051_sfr, 256 bytes internal ram
49
//
50 76 simont
// Revision 1.7  2002/09/30 17:33:59  simont
51
// prepared header
52
//
53
//
54
 
55
 
56
// synopsys translate_off
57
`include "oc8051_timescale.v"
58
// synopsys translate_on
59
 
60
`include "oc8051_defines.v"
61
 
62
 
63 82 simont
module oc8051_psw (clk, rst, wr_addr, rd_addr, data_in, wr, wr_bit, data_out, bit_out, p,
64
                cy_in, ac_in, ov_in, set, bank_sel);
65 76 simont
//
66
// clk          (in)  clock
67
// rst          (in)  reset
68
// addr         (in)  write address [oc8051_ram_wr_sel.out]
69
// data_in      (in)  data input [oc8051_alu.des1]
70
// wr           (in)  write [oc8051_decoder.wr -r]
71
// wr_bit       (in)  write bit addresable [oc8051_decoder.bit_addr -r]
72
// data_out     (out) data output [oc8051_ram_sel.psw]
73
// p            (in)  parity [oc8051_acc.p]
74
// cy_in        (in)  input bit data [oc8051_alu.desCy]
75
// ac_in        (in)  auxiliary carry input [oc8051_alu.desAc]
76
// ov_in        (in)  overflov input [oc8051_alu.desOv]
77
// set          (in)  set psw (write to caryy, carry and overflov or carry, owerflov and ac) [oc8051_decoder.psw_set -r]
78
//
79
 
80
 
81
input clk, rst, wr, p, cy_in, ac_in, ov_in, wr_bit;
82
input [1:0] set;
83
input [2:0] rd_addr;
84
input [7:0] wr_addr, data_in;
85
 
86
output bit_out;
87
output [1:0] bank_sel;
88
output [7:0] data_out;
89
 
90
reg bit_out;
91
reg [7:0] data;
92
wire wr_psw;
93
 
94
assign wr_psw = (wr & (wr_addr==`OC8051_SFR_PSW) && !wr_bit);
95
 
96
assign bank_sel = wr_psw ? data_in[4:3]:data[4:3];
97 82 simont
//assign bank_sel = data[4:3];
98 76 simont
assign data_out = data;
99
 
100
//
101
//case writing to psw
102
always @(posedge clk or posedge rst)
103
begin
104
  if (rst)
105
    data <= #1 `OC8051_RST_PSW;
106
 
107
//
108
// write to psw (byte addressable)
109
  else begin
110
    if (wr & (wr_bit==1'b0) & (wr_addr==`OC8051_SFR_PSW))
111
      data[7:1] <= #1 data_in[7:1];
112
//
113
// write to psw (bit addressable)
114
    else if (wr & wr_bit & (wr_addr[7:3]==`OC8051_SFR_B_PSW))
115
      data[wr_addr[2:0]] <= #1 cy_in;
116
    else begin
117
      case (set)
118
        `OC8051_PS_CY: begin
119
//
120
//write carry
121
          data[7] <= #1 cy_in;
122
        end
123
        `OC8051_PS_OV: begin
124
//
125
//write carry and overflov
126
          data[7] <= #1 cy_in;
127
          data[2] <= #1 ov_in;
128
        end
129
        `OC8051_PS_AC:begin
130
//
131
//write carry, overflov and ac
132
          data[7] <= #1 cy_in;
133
          data[6] <= #1 ac_in;
134
          data[2] <= #1 ov_in;
135
 
136
        end
137
      endcase
138
    end
139
    data[0] <= #1 p;
140
  end
141
end
142
 
143
always @(posedge clk or posedge rst)
144
begin
145
  if (rst) bit_out <= #1 1'b0;
146
  else if ((rd_addr==wr_addr[2:0]) & wr & wr_bit) begin
147
      bit_out <= #1 cy_in;
148
  end else if ((wr_addr==`OC8051_SFR_PSW) & wr & !wr_bit) begin
149
      bit_out <= #1 data_in[rd_addr];
150
  end else bit_out <= #1 data_out[rd_addr];
151
end
152
 
153
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.