OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_ram_top.v] - Blame information for rev 174

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 data ram                                               ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   data ram                                                   ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 174 simont
// Revision 1.9  2003/06/17 14:17:22  simont
48
// BIST signals added.
49
//
50 172 simont
// Revision 1.8  2003/04/02 16:12:04  simont
51
// generic_dpram used
52
//
53 105 simont
// Revision 1.7  2003/04/02 11:26:21  simont
54
// updating...
55
//
56 95 simont
// Revision 1.6  2003/01/26 14:19:22  rherveille
57
// Replaced oc8051_ram by generic_dpram.
58
//
59 89 rherveille
// Revision 1.5  2003/01/13 14:14:41  simont
60
// replace some modules
61
//
62 82 simont
// Revision 1.4  2002/09/30 17:33:59  simont
63
// prepared header
64
//
65
//
66
 
67
// synopsys translate_off
68
`include "oc8051_timescale.v"
69
// synopsys translate_on
70
 
71
`include "oc8051_defines.v"
72
 
73
 
74 172 simont
module oc8051_ram_top (clk,
75
                       rst,
76
                       rd_addr,
77
                       rd_data,
78
                       wr_addr,
79
                       bit_addr,
80
                       wr_data,
81
                       wr,
82
                       bit_data_in,
83
                       bit_data_out
84
`ifdef OC8051_BIST
85
         ,
86
         scanb_rst,
87
         scanb_clk,
88
         scanb_si,
89
         scanb_so,
90
         scanb_en
91
`endif
92
                       );
93 89 rherveille
 
94
// on-chip ram-size (2**ram_aw bytes)
95
parameter ram_aw = 8; // default 256 bytes
96
 
97
 
98 82 simont
//
99
// clk          (in)  clock
100
// rd_addr      (in)  read addres [oc8051_ram_rd_sel.out]
101
// rd_data      (out) read data [oc8051_ram_sel.in_ram]
102
// wr_addr      (in)  write addres [oc8051_ram_wr_sel.out]
103
// bit_addr     (in)  bit addresable instruction [oc8051_decoder.bit_addr -r]
104
// wr_data      (in)  write data [oc8051_alu.des1]
105
// wr           (in)  write [oc8051_decoder.wr -r]
106
// bit_data_in  (in)  bit data input [oc8051_alu.desCy]
107
// bit_data_out (out)  bit data output [oc8051_ram_sel.bit_in]
108
//
109
 
110
input clk, wr, bit_addr, bit_data_in, rst;
111
input [7:0] wr_data;
112
input [7:0] rd_addr, wr_addr;
113
output bit_data_out;
114
output [7:0] rd_data;
115
 
116 172 simont
`ifdef OC8051_BIST
117
input   scanb_rst;
118
input   scanb_clk;
119
input   scanb_si;
120
output  scanb_so;
121
input   scanb_en;
122
`endif
123 82 simont
 
124
// rd_addr_m    read address modified
125
// wr_addr_m    write address modified
126
// wr_data_m    write data modified
127
reg [7:0] wr_data_m;
128
reg [7:0] rd_addr_m, wr_addr_m;
129
 
130
// bit_addr_r   bit addresable instruction (registerd)
131
reg bit_addr_r;
132
reg [2:0] bit_select;
133
 
134
assign bit_data_out = rd_data[bit_select];
135
 
136
 
137
 
138 174 simont
oc8051_ram_256x8_two_bist oc8051_idata(
139
                           .clk     ( clk        ),
140
                           .rst     ( rst        ),
141
                           .rd_addr ( rd_addr_m  ),
142
                           .rd_data ( rd_data    ),
143
                           .rd_en   ( 1'b1       ),
144
                           .wr_addr ( wr_addr_m  ),
145
                           .wr_data ( wr_data_m  ),
146
                           .wr_en   ( 1'b1       ),
147
                           .wr      ( wr         )
148
`ifdef OC8051_BIST
149
         ,
150
         .scanb_rst(scanb_rst),
151
         .scanb_clk(scanb_clk),
152
         .scanb_si(scanb_si),
153
         .scanb_so(scanb_so),
154
         .scanb_en(scanb_en)
155
`endif
156
                           );
157 89 rherveille
 
158 82 simont
always @(posedge clk or posedge rst)
159
  if (rst) begin
160
    bit_addr_r <= #1 1'b0;
161
    bit_select <= #1 3'b0;
162
  end else begin
163
    bit_addr_r <= #1 bit_addr;
164
    bit_select <= #1 rd_addr[2:0];
165
  end
166
 
167 89 rherveille
 
168 82 simont
always @(rd_addr or bit_addr)
169 89 rherveille
  casex ( {bit_addr, rd_addr[7]} ) // synopsys full_case parallel_case
170
      2'b0?: rd_addr_m = rd_addr;
171
      2'b10: rd_addr_m = {4'b0010, rd_addr[6:3]};
172
      2'b11: rd_addr_m = {1'b1, rd_addr[6:3], 3'b000};
173 82 simont
  endcase
174
 
175 89 rherveille
 
176 82 simont
always @(wr_addr or bit_addr_r)
177 89 rherveille
  casex ( {bit_addr_r, wr_addr[7]} ) // synopsys full_case parallel_case
178
      2'b0?: wr_addr_m = wr_addr;
179
      2'b10: wr_addr_m = {8'h00, 4'b0010, wr_addr[6:3]};
180
      2'b11: wr_addr_m = {8'h00, 1'b1, wr_addr[6:3], 3'b000};
181 82 simont
  endcase
182
 
183 89 rherveille
 
184 82 simont
always @(rd_data or bit_select or bit_data_in or wr_data or bit_addr_r)
185 89 rherveille
  casex ( {bit_addr_r, bit_select} ) // synopsys full_case parallel_case
186
      4'b0_???: wr_data_m = wr_data;
187
      4'b1_000: wr_data_m = {rd_data[7:1], bit_data_in};
188
      4'b1_001: wr_data_m = {rd_data[7:2], bit_data_in, rd_data[0]};
189
      4'b1_010: wr_data_m = {rd_data[7:3], bit_data_in, rd_data[1:0]};
190
      4'b1_011: wr_data_m = {rd_data[7:4], bit_data_in, rd_data[2:0]};
191
      4'b1_100: wr_data_m = {rd_data[7:5], bit_data_in, rd_data[3:0]};
192
      4'b1_101: wr_data_m = {rd_data[7:6], bit_data_in, rd_data[4:0]};
193
      4'b1_110: wr_data_m = {rd_data[7], bit_data_in, rd_data[5:0]};
194
      4'b1_111: wr_data_m = {bit_data_in, rd_data[6:0]};
195
  endcase
196 82 simont
 
197
 
198
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.