OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_top.v] - Blame information for rev 117

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 72 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores top level module                                 ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 54 simont
// $Log: not supported by cvs2svn $
47 117 simont
// Revision 1.20  2003/04/03 19:13:28  simont
48
// Include instruction cache.
49
//
50 107 simont
// Revision 1.19  2003/04/02 15:08:30  simont
51
// raname signals.
52
//
53 102 simont
// Revision 1.18  2003/01/13 14:14:41  simont
54
// replace some modules
55
//
56 82 simont
// Revision 1.17  2002/11/05 17:23:54  simont
57
// add module oc8051_sfr, 256 bytes internal ram
58
//
59 76 simont
// Revision 1.16  2002/10/28 14:55:00  simont
60
// fix bug in interface to external data ram
61
//
62 72 simont
// Revision 1.15  2002/10/23 16:53:39  simont
63
// fix bugs in instruction interface
64
//
65 62 simont
// Revision 1.14  2002/10/17 18:50:00  simont
66
// cahnge interface to instruction rom
67
//
68 54 simont
// Revision 1.13  2002/09/30 17:33:59  simont
69
// prepared header
70 72 simont
//
71
//
72
 
73
// synopsys translate_off
74
`include "oc8051_timescale.v"
75
// synopsys translate_on
76
 
77
 
78 102 simont
module oc8051_top (wb_rst_i, wb_clk_i,
79
//interface to instruction rom
80
                wbi_adr_o, wbi_dat_i, wbi_stb_o, wbi_ack_i, wbi_cyc_o, wbi_err_i,
81
//interface to data ram
82
                wbd_dat_i, wbd_dat_o,
83
                wbd_adr_o, wbd_we_o, wbd_ack_i, wbd_stb_o, wbd_cyc_o, wbd_err_i,
84
// interrupt interface
85
                int0_i, int1_i,
86
// external access (active low)
87
                ea_in,
88
// port interface
89
                p0_i, p1_i, p2_i, p3_i,
90
                p0_o, p1_o, p2_o, p3_o,
91
// serial interface
92
                rxd_i, txd_o,
93
// counter interface
94
                t0_i, t1_i, t2_i, t2ex_i);
95 72 simont
 
96
 
97
 
98 102 simont
input         wb_rst_i,         // reset input
99
              wb_clk_i,         // clock input
100
              int0_i,           // interrupt 0
101
              int1_i,           // interrupt 1
102
              ea_in,            // external access
103
              rxd_i,            // receive
104
              t0_i,             // counter 0 input
105
              t1_i,             // counter 1 input
106
              wbd_ack_i,        // data acknowalge
107
              wbi_ack_i,        // instruction acknowlage
108
              wbd_err_i,        // data error
109
              wbi_err_i,        // instruction error
110
              t2_i,             // counter 2 input
111
              t2ex_i;           // ???
112 72 simont
 
113 102 simont
input [7:0]   wbd_dat_i, // ram data input
114
              p0_i,             // port 0 input
115
              p1_i,             // port 1 input
116
              p2_i,             // port 2 input
117
              p3_i;             // port 3 input
118
input [31:0]  wbi_dat_i; // rom data input
119 72 simont
 
120 102 simont
output        wbd_we_o,         // data write enable
121
              txd_o,            // transnmit
122
              wbd_stb_o,        // data strobe
123
              wbd_cyc_o,        // data cycle
124
              wbi_stb_o,        // instruction strobe
125
              wbi_cyc_o;        // instruction cycle
126 82 simont
 
127 102 simont
output [7:0]  wbd_dat_o, // data output
128
              p0_o,             // port 0 output
129
              p1_o,             // port 1 output
130
              p2_o,             // port 2 output
131
              p3_o;             // port 3 output
132
 
133
output [15:0] wbd_adr_o, // data address
134
              wbi_adr_o;        // instruction address
135
 
136
 
137 82 simont
wire [7:0] op1_i, op2_i, op3_i, dptr_hi, dptr_lo, ri, rn_mem, data_out;
138 54 simont
wire [7:0] op1, op2, op3;
139 76 simont
wire [7:0] acc, p0_out, p1_out, p2_out, p3_out;
140 82 simont
wire [7:0] sp, sp_w;
141 72 simont
 
142
wire [15:0] pc;
143
 
144 102 simont
assign wbd_cyc_o = wbd_stb_o;
145 107 simont
//assign wbi_cyc_o = wbi_stb_o;
146 72 simont
 
147
//
148
// ram_rd_sel    ram read (internal)
149
// ram_wr_sel    ram write (internal)
150
// src_sel1, src_sel2    from decoder to register
151 82 simont
wire src_sel3;
152
wire [2:0] ram_rd_sel, ram_wr_sel, wr_sfr;
153
wire [2:0] src_sel2, src_sel1;
154 72 simont
 
155
//
156
// wr_addr       ram write addres
157
// ram_out       data from ram
158
// rd_addr       data ram read addres
159
// rd_addr_r     data ram read addres registerd
160 82 simont
wire [7:0] ram_data, ram_out, sfr_out, wr_dat;
161
wire [7:0] wr_addr, rd_addr;
162 76 simont
wire sfr_bit;
163 72 simont
 
164
 
165
//
166
// cy_sel       carry select; from decoder to cy_selct1
167
// rom_addr_sel rom addres select; alu or pc
168
// ext_adddr_sel        external addres select; data pointer or Ri
169
// write_p      output from decoder; write to external ram, go to register;
170 82 simont
wire [1:0] cy_sel, bank_sel;
171
wire rom_addr_sel, rmw, ea_int;
172 72 simont
 
173
//
174
// int_uart     interrupt from uart
175
// tf0          interrupt from t/c 0
176
// tf1          interrupt from t/c 1
177
// tr0          timer 0 run
178
// tr1          timer 1 run
179 76 simont
wire reti, intr, int_ack, istb;
180 72 simont
wire [7:0] int_src;
181
 
182
//
183
//alu_op        alu operation (from decoder)
184
//psw_set       write to psw or not; from decoder to psw (through register)
185 82 simont
wire mem_wait;
186
wire [2:0] mem_act;
187
wire [3:0] alu_op;
188
wire [1:0] psw_set;
189 72 simont
 
190
//
191
// immediate1_r         from imediate_sel1 to alu_src1_sel1
192
// immediate2_r         from imediate_sel1 to alu_src2_sel1
193
// src1. src2, src2     alu sources
194
// des2, des2           alu destinations
195
// des1_r               destination 1 registerd (to comp1)
196
// desCy                carry out
197
// desAc
198
// desOv                overflow
199 82 simont
// wr                   write to data ram
200
wire [7:0] src1, src2, des1, des2, des1_r;
201
wire [7:0] src3;
202
wire desCy, desAc, desOv, alu_cy, wr, wr_o;
203 72 simont
 
204
 
205
//
206
// rd           read program rom
207
// pc_wr_sel    program counter write select (from decoder to pc)
208
wire rd, pc_wr;
209 82 simont
wire [2:0] pc_wr_sel;
210 72 simont
 
211
//
212
// op1_n                from op_select to decoder
213
// op2_n,         output of op_select, to immediate_sel1, pc1, comp1
214
// op3_n,         output of op_select, to immediate_sel1, ram_wr_sel1
215
// op2_dr,      output of op_select, to ram_rd_sel1, ram_wr_sel1
216 82 simont
wire [7:0] op1_n, op2_n, op3_n;
217 72 simont
 
218
//
219
// comp_sel     select source1 and source2 to compare
220
// eq           result (from comp1 to decoder)
221
wire [1:0] comp_sel;
222 82 simont
wire eq, srcAc, cy, rd_ind, wr_ind;
223
wire [2:0] op1_cur;
224 72 simont
 
225
 
226
//
227
// bit_addr     bit addresable instruction
228
// bit_data     bit data from ram to ram_select
229
// bit_out      bit data from ram_select to alu and cy_select
230 82 simont
wire bit_addr, bit_data, bit_out, bit_addr_o;
231 72 simont
 
232
//
233 107 simont
// cpu to cache/wb_interface
234
wire        iack_i,
235
            istb_o,
236
            icyc_o;
237
wire [31:0] idat_i;
238
wire [15:0] iadr_o;
239 117 simont
wire wait_data;
240 72 simont
 
241
 
242
//
243
// decoder
244 102 simont
oc8051_decoder oc8051_decoder1(.clk(wb_clk_i), .rst(wb_rst_i), .op_in(op1_n), .op1_c(op1_cur),
245 117 simont
     .ram_rd_sel_o(ram_rd_sel), .ram_wr_sel_o(ram_wr_sel), .bit_addr(bit_addr),
246 82 simont
     .src_sel1(src_sel1), .src_sel2(src_sel2),
247 117 simont
     .src_sel3(src_sel3), .alu_op_o(alu_op), .psw_set(psw_set),
248
     .cy_sel(cy_sel), .wr_o(wr), .pc_wr(pc_wr),
249 76 simont
     .pc_sel(pc_wr_sel), .comp_sel(comp_sel), .eq(eq),
250 117 simont
     .wr_sfr_o(wr_sfr), .rd(rd), .rmw(rmw),
251
     .istb(istb), .mem_act(mem_act), .mem_wait(mem_wait),
252
     .wait_data(wait_data));
253 72 simont
 
254
 
255
//
256
//alu
257 102 simont
oc8051_alu oc8051_alu1(.rst(wb_rst_i), .clk(wb_clk_i), .op_code(alu_op), .rd(rd),
258 82 simont
     .src1(src1), .src2(src2), .src3(src3), .srcCy(alu_cy), .srcAc(srcAc),
259
     .des1(des1), .des2(des2), .des1_r(des1_r), .desCy(desCy),
260
     .desAc(desAc), .desOv(desOv), .bit_in(bit_out));
261 72 simont
 
262
//
263
//data ram
264 102 simont
oc8051_ram_top oc8051_ram_top1(.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .rd_data(ram_data),
265 82 simont
          .wr_addr(wr_addr), .bit_addr(bit_addr_o), .wr_data(wr_dat), .wr(wr_o && (!wr_addr[7] || wr_ind)),
266 72 simont
          .bit_data_in(desCy), .bit_data_out(bit_data));
267
 
268
//
269
 
270 102 simont
oc8051_alu_src_sel oc8051_alu_src_sel1(.clk(wb_clk_i), .rst(wb_rst_i), .rd(rd),
271 82 simont
     .sel1(src_sel1), .sel2(src_sel2), .sel3(src_sel3),
272
     .acc(acc), .ram(ram_out), .pc(pc), .dptr({dptr_hi, dptr_lo}),
273
     .op1(op1_n), .op2(op2_n), .op3(op3_n),
274
     .src1(src1), .src2(src2), .src3(src3));
275
 
276
 
277 72 simont
//
278
//
279 76 simont
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(cy), .acc(acc), .des(des1_r));
280 72 simont
 
281
 
282
//
283
//program rom
284 107 simont
oc8051_rom oc8051_rom1(.rst(wb_rst_i), .clk(wb_clk_i), .ea_int(ea_int), .addr(iadr_o),
285 72 simont
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
286
 
287
//
288
//
289 82 simont
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel), .cy_in(cy), .data_in(bit_out),
290 72 simont
                 .data_out(alu_cy));
291
//
292
//
293 102 simont
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .wr_addr(wr_addr),
294 82 simont
      .data_in(wr_dat), .wr(wr_o), .wr_bit(bit_addr_o), .rn_out(rn_mem),
295
      .ri_out(ri), .sel(op1_cur), .bank(bank_sel));
296 72 simont
 
297
 
298 107 simont
 
299
assign icyc_o = istb_o;
300 72 simont
//
301
//
302 102 simont
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i), .rst(wb_rst_i),
303 107 simont
// internal ram
304 82 simont
   .wr_i(wr), .wr_o(wr_o), .wr_bit_i(bit_addr), .wr_bit_o(bit_addr_o), .wr_dat(wr_dat),
305 107 simont
   .des1(des1), .des2(des2),
306
   .rd_addr(rd_addr), .wr_addr(wr_addr),
307
   .wr_ind(wr_ind),
308
   .bit_in(bit_data), .in_ram(ram_data),
309
   .sfr(sfr_out), .sfr_bit(sfr_bit), .bit_out(bit_out), .iram_out(ram_out),
310 72 simont
 
311 107 simont
// external instrauction rom
312
   .iack_i(iack_i),
313
   .iadr_o(iadr_o),
314
   .idat_i(idat_i),
315
   .istb_o(istb_o),
316 82 simont
 
317 107 simont
// internal instruction rom
318
   .op1_i(op1_i), .op2_i(op2_i), .op3_i(op3_i),
319 82 simont
 
320 107 simont
// data memory
321
   .dadr_o(wbd_adr_o), .ddat_o(wbd_dat_o),
322
   .dwe_o(wbd_we_o), .dstb_o(wbd_stb_o),
323
   .ddat_i(wbd_dat_i), .dack_i(wbd_ack_i),
324
 
325
// from decoder
326
   .rd_sel(ram_rd_sel), .wr_sel(ram_wr_sel), .rn({bank_sel, op1_n[2:0]}),
327
   .rd_ind(rd_ind), .rd(rd),
328
   .mem_act(mem_act), .mem_wait(mem_wait),
329
 
330
// external access
331 102 simont
   .ea(ea_in), .ea_int(ea_int),
332 107 simont
 
333
// instructions outputs to cpu
334 82 simont
   .op1_out(op1_n), .op2_out(op2_n), .op3_out(op3_n),
335
 
336 107 simont
// interrupt interface
337
   .intr(intr), .int_v(int_src), .int_ack(int_ack), .istb(istb),
338
   .reti(reti),
339
 
340 82 simont
//pc
341
   .pc_wr_sel(pc_wr_sel), .pc_wr(pc_wr), .pc(pc),
342
 
343 107 simont
// sfr's
344
   .sp_w(sp_w), .dptr({dptr_hi, dptr_lo}),
345
   .ri(ri), .rn_mem(rn_mem),
346
   .acc(acc), .sp(sp)
347
   );
348 82 simont
 
349 107 simont
 
350 72 simont
//
351
//
352
 
353 102 simont
oc8051_sfr oc8051_sfr1(.rst(wb_rst_i), .clk(wb_clk_i), .adr0(rd_addr[7:0]), .adr1(wr_addr[7:0]),
354 82 simont
       .dat0(sfr_out), .dat1(wr_dat), .dat2(des2), .we(wr_o && !wr_ind), .bit_in(desCy),
355
       .bit_out(sfr_bit), .wr_bit(bit_addr_o), .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel), .wr_sfr(wr_sfr),
356 76 simont
// acc
357 82 simont
       .acc(acc),
358 76 simont
// sp
359 82 simont
       .sp(sp), .sp_w(sp_w),
360 76 simont
// psw
361 82 simont
       .bank_sel(bank_sel), .desAc(desAc), .desOv(desOv), .psw_set(psw_set),
362 76 simont
       .srcAc(srcAc), .cy(cy),
363
// ports
364 102 simont
       .rmw(rmw), .p0_out(p0_o), .p1_out(p1_o), .p2_out(p2_o), .p3_out(p3_o),
365
       .p0_in(p0_i), .p1_in(p1_i), .p2_in(p2_i), .p3_in(p3_i),
366 76 simont
// uart
367 102 simont
       .rxd(rxd_i), .txd(txd_o),
368 76 simont
// int
369 102 simont
       .int_ack(int_ack), .intr(intr), .int0(int0_i), .int1(int1_i),
370
       .reti(reti), .int_src(int_src),
371 76 simont
// t/c
372 102 simont
       .t0(t0_i), .t1(t1_i), .t2(t2_i), .t2ex(t2ex_i),
373 76 simont
// dptr
374 117 simont
       .dptr_hi(dptr_hi), .dptr_lo(dptr_lo),
375
       .wait_data(wait_data));
376 72 simont
 
377 82 simont
 
378 107 simont
 
379
 
380
`ifdef OC8051_CACHE
381
 
382
 
383
oc8051_icache oc8051_icache1(.rst(wb_rst_i), .clk(wb_clk_i),
384
// cpu
385
        .adr_i(iadr_o),
386
        .dat_o(idat_i),
387
        .stb_i(istb_o),
388
        .ack_o(iack_i),
389
        .cyc_i(icyc_o),
390
// pins
391
        .dat_i(wbi_dat_i),
392
        .stb_o(wbi_stb_o),
393
        .adr_o(wbi_adr_o),
394
        .ack_i(wbi_ack_i),
395
        .cyc_o(wbi_cyc_o));
396
 
397
defparam oc8051_icache1.ADR_WIDTH = 7;  // cache address wihth
398
defparam oc8051_icache1.LINE_WIDTH = 2; // line address width (2 => 4x32)
399
defparam oc8051_icache1.BL_NUM = 31; // number of blocks (2^BL_WIDTH-1); BL_WIDTH = ADR_WIDTH - LINE_WIDTH
400
defparam oc8051_icache1.CACHE_RAM = 128; // cache ram x 32 (2^ADR_WIDTH)
401
 
402
//
403
//    no cache
404
//
405
`else
406
 
407
oc8051_wb_iinterface oc8051_wb_iinterface(.rst(wb_rst_i), .clk(wb_clk_i),
408
// cpu
409
        .adr_i(iadr_o),
410
        .dat_o(idat_i),
411
        .stb_i(istb_o),
412
        .ack_o(iack_i),
413
        .cyc_i(icyc_o),
414
// external rom
415
        .dat_i(wbi_dat_i),
416
        .stb_o(wbi_stb_o),
417
        .adr_o(wbi_adr_o),
418
        .ack_i(wbi_ack_i),
419
        .cyc_o(wbi_cyc_o));
420
 
421
 
422
`endif
423
 
424
 
425
 
426 72 simont
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.