OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_wb_iinterface.v] - Blame information for rev 136

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 wishbone interface to instruction rom                  ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////                                                              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 136 simont
// Revision 1.4  2003/04/16 10:02:45  simont
48
// fix bug (cyc_o and stb_o)
49
//
50 127 simont
// Revision 1.3  2003/04/03 19:19:02  simont
51
// change adr_i and adr_o length.
52
//
53 110 simont
// Revision 1.2  2003/01/13 14:14:41  simont
54
// replace some modules
55
//
56 82 simont
// Revision 1.1  2002/10/28 16:42:08  simont
57
// initial import
58 73 simont
//
59
//
60 82 simont
//
61 73 simont
 
62
// synopsys translate_off
63
`include "oc8051_timescale.v"
64
// synopsys translate_on
65
 
66
 
67 136 simont
module oc8051_wb_iinterface(rst, clk,
68
                  adr_i, dat_o, cyc_i, stb_i, ack_o,
69
                  adr_o, dat_i, cyc_o, stb_o, ack_i
70
                  );
71 73 simont
//
72
// rst           (in)  reset - pin
73
// clk           (in)  clock - pini
74
input rst, clk;
75
 
76
//
77
// interface to oc8051 cpu
78
//
79
// adr_i    (in)  address
80
// dat_o    (out) data output
81
// stb_i    (in)  strobe
82
// ack_o    (out) acknowledge
83
// cyc_i    (in)  cycle
84 136 simont
input         stb_i,
85
              cyc_i;
86
input  [15:0] adr_i;
87
output        ack_o;
88 73 simont
output [31:0] dat_o;
89
 
90
//
91
// interface to instruction rom
92
//
93
// adr_o    (out) address
94
// dat_i    (in)  data input
95
// stb_o    (out) strobe
96
// ack_i    (in) acknowledge
97
// cyc_o    (out)  cycle
98 136 simont
input         ack_i;
99
input  [31:0] dat_i;
100
output        stb_o,
101
              cyc_o;
102 110 simont
output [15:0] adr_o;
103 73 simont
 
104
//
105
// internal bufers and wires
106
//
107 136 simont
reg [15:0] adr_o;
108
reg        stb_o;
109 73 simont
 
110
assign ack_o = ack_i;
111
assign dat_o = dat_i;
112 136 simont
//assign stb_o = stb_i || ack_i;
113
assign cyc_o = stb_o;
114
//assign adr_o = ack_i ? adr : adr_i;
115 73 simont
 
116
always @(posedge clk or posedge rst)
117
  if (rst) begin
118 136 simont
    stb_o <= #1 1'b0;
119
    adr_o <= #1 16'h0000;
120
  end else if (ack_i) begin
121
    stb_o <= #1 1'b0;
122
  end else if (!stb_o & stb_i) begin
123
    stb_o <= #1 1'b1;
124
    adr_o <= #1 adr_i;
125 73 simont
  end
126
 
127
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.