OpenCores
URL https://opencores.org/ocsvn/aes_pipe/aes_pipe/trunk

Subversion Repositories aes_pipe

[/] [aes_pipe/] [trunk/] [rtl/] [vhdl/] [subsh.vhdl] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 subhasis25
----------------------------------------------------------------------
2
----                                                              ----
3 5 subhasis25
---- Pipelined Aes IP Core                                        ----
4
----                                                              ----
5
---- This file is part of the Pipelined AES project               ----
6
---- http://www.opencores.org/cores/aes_pipe/                     ----
7
----                                                              ----
8
---- Description                                                  ----
9
---- Implementation of AES IP core according to                   ----
10
---- FIPS PUB 197 specification document.                         ----
11
----                                                              ----
12
---- To Do:                                                       ----
13
----   -                                                          ----
14
----                                                              ----
15
---- Author:                                                      ----
16
----      - Subhasis Das, subhasis256@gmail.com                   ----
17
----                                                              ----
18
----------------------------------------------------------------------
19
----                                                              ----
20
---- Copyright (C) 2009 Authors and OPENCORES.ORG                 ----
21
----                                                              ----
22 2 subhasis25
---- This source file may be used and distributed without         ----
23
---- restriction provided that this copyright statement is not    ----
24 5 subhasis25
---- removed from the file and that any derivative work contains ----
25 2 subhasis25
---- the original copyright notice and the associated disclaimer. ----
26
----                                                              ----
27
---- This source file is free software; you can redistribute it   ----
28
---- and/or modify it under the terms of the GNU Lesser General   ----
29
---- Public License as published by the Free Software Foundation; ----
30
---- either version 2.1 of the License, or (at your option) any   ----
31
---- later version.                                               ----
32
----                                                              ----
33
---- This source is distributed in the hope that it will be       ----
34
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
35
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
36 5 subhasis25
---- PURPOSE. See the GNU Lesser General Public License for more ----
37 2 subhasis25
---- details.                                                     ----
38
----                                                              ----
39
---- You should have received a copy of the GNU Lesser General    ----
40
---- Public License along with this source; if not, download it   ----
41 5 subhasis25
---- from http://www.opencores.org/lgpl.shtml                     ----
42 2 subhasis25
----                                                              ----
43
----------------------------------------------------------------------
44
------------------------------------------------------
45
-- Project: AESFast
46
-- Author: Subhasis
47
-- Last Modified: 20/03/10
48
-- Email: subhasis256@gmail.com
49
------------------------------------------------------
50
--
51
-- Description: The Sbox and Shiftrows step
52
-- Ports:
53
--                      clk: System Clock
54
--                      blockin: Input state block
55
--                      fc3: See keysched1 for explanation
56
--                      c0: See keysched1 for explanation
57
--                      c1: See keysched1 for explanation
58
--                      c2: See keysched1 for explanation
59
--                      c3: See keysched1 for explanation
60
--                      nextkey: Roundkey for next round
61
--                      blockout: output state block
62
------------------------------------------------------
63
 
64
library IEEE;
65
use IEEE.std_logic_1164.all;
66
use IEEE.std_logic_arith.all;
67
use IEEE.std_logic_unsigned.all;
68
 
69
library work;
70
use work.aes_pkg.all;
71
 
72
entity sboxshr is
73
port(
74
        clk: in std_logic;
75
        blockin: in datablock;
76
        fc3: in blockcol;
77
        c0: in blockcol;
78
        c1: in blockcol;
79
        c2: in blockcol;
80
        c3: in blockcol;
81
        nextkey: out datablock;
82
        blockout: out datablock
83
        );
84
end sboxshr;
85
 
86
architecture rtl of sboxshr is
87
component sbox is
88
port(
89
        clk: in std_logic;
90
        bytein: in std_logic_vector(7 downto 0);
91
        byteout: out std_logic_vector(7 downto 0)
92
        );
93
end component;
94
begin
95
        -- The sbox, the output going to the appropriate state byte after shiftrows
96
        g0: for i in 3 downto 0 generate
97
                g1: for j in 3 downto 0 generate
98
                        sub: sbox port map(
99
                                                          clk => clk,
100
                                                          bytein => blockin(i,j),
101
                                                          byteout => blockout(i,(j-i) mod 4)
102
                                                          );
103
                end generate;
104
        end generate;
105
        process(clk)
106
        begin
107
                if(rising_edge(clk)) then
108
                        -- col0 of nextkey = fc3 xor col0
109
                        -- col1 of nextkey = fc3 xor col0 xor col1
110
                        -- col2 of nextkey = fc3 xor col0 xor col1 xor col2
111
                        -- col3 of nextkey = fc3 xor col0 xor col1 xor col2 xor col3
112
                        genkey: for j in 3 downto 0 loop
113
                                nextkey(j, 0) <= fc3(j) xor c0(j);
114
                                nextkey(j, 1) <= fc3(j) xor c1(j);
115
                                nextkey(j, 2) <= fc3(j) xor c2(j);
116
                                nextkey(j, 3) <= fc3(j) xor c3(j);
117
                        end loop;
118
                end if;
119
        end process;
120
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.