OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [amber25/] [a25_execute.v] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 csantifort
//////////////////////////////////////////////////////////////////
2
//                                                              //
3
//  Execute stage of Amber 25 Core                              //
4
//                                                              //
5
//  This file is part of the Amber project                      //
6
//  http://www.opencores.org/project,amber                      //
7
//                                                              //
8
//  Description                                                 //
9
//  Executes instructions. Instantiates the register file, ALU  //
10
//  multiplication unit and barrel shifter. This stage is       //
11
//  relitively simple. All the complex stuff is done in the     //
12
//  decode stage.                                               //
13
//                                                              //
14
//  Author(s):                                                  //
15
//      - Conor Santifort, csantifort.amber@gmail.com           //
16
//                                                              //
17
//////////////////////////////////////////////////////////////////
18
//                                                              //
19
// Copyright (C) 2011 Authors and OPENCORES.ORG                 //
20
//                                                              //
21
// This source file may be used and distributed without         //
22
// restriction provided that this copyright statement is not    //
23
// removed from the file and that any derivative work contains  //
24
// the original copyright notice and the associated disclaimer. //
25
//                                                              //
26
// This source file is free software; you can redistribute it   //
27
// and/or modify it under the terms of the GNU Lesser General   //
28
// Public License as published by the Free Software Foundation; //
29
// either version 2.1 of the License, or (at your option) any   //
30
// later version.                                               //
31
//                                                              //
32
// This source is distributed in the hope that it will be       //
33
// useful, but WITHOUT ANY WARRANTY; without even the implied   //
34
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //
35
// PURPOSE.  See the GNU Lesser General Public License for more //
36
// details.                                                     //
37
//                                                              //
38
// You should have received a copy of the GNU Lesser General    //
39
// Public License along with this source; if not, download it   //
40
// from http://www.opencores.org/lgpl.shtml                     //
41
//                                                              //
42
//////////////////////////////////////////////////////////////////
43
 
44
 
45
module a25_execute (
46
 
47
input                       i_clk,
48 35 csantifort
input                       i_core_stall,               // stall all stages of the Amber core at the same time
49 16 csantifort
input                       i_mem_stall,                // data memory access stalls
50 35 csantifort
output                      o_exec_stall,               // stall the core pipeline
51 16 csantifort
 
52
input       [31:0]          i_wb_read_data,             // data reads
53
input                       i_wb_read_data_valid,       // read data is valid
54 35 csantifort
input       [10:0]          i_wb_load_rd,               // Rd for data reads
55 16 csantifort
 
56
input       [31:0]          i_copro_read_data,          // From Co-Processor, to either Register 
57
                                                        // or Memory
58
input                       i_decode_iaccess,           // Indicates an instruction access
59
input                       i_decode_daccess,           // Indicates a data access
60
input       [7:0]           i_decode_load_rd,           // The destination register for a load instruction
61
 
62
output reg  [31:0]          o_copro_write_data = 'd0,
63
output reg  [31:0]          o_write_data = 'd0,
64
output reg  [31:0]          o_iaddress = 32'hdead_dead,
65
output      [31:0]          o_iaddress_nxt,             // un-registered version of address to the 
66
                                                        // cache rams address ports
67
output reg                  o_iaddress_valid = 'd0,     // High when instruction address is valid
68
output reg  [31:0]          o_daddress = 32'h0,         // Address to data cache
69
output      [31:0]          o_daddress_nxt,             // un-registered version of address to the 
70
                                                        // cache rams address ports
71
output reg                  o_daddress_valid = 'd0,     // High when data address is valid
72
output reg                  o_adex = 'd0,               // Address Exception
73
output reg                  o_priviledged = 'd0,        // Priviledged access
74
output reg                  o_exclusive = 'd0,          // swap access
75
output reg                  o_write_enable = 'd0,
76
output reg  [3:0]           o_byte_enable = 'd0,
77 35 csantifort
output reg  [8:0]           o_exec_load_rd = 'd0,       // The destination register for a load instruction
78 16 csantifort
output      [31:0]          o_status_bits,              // Full PC will all status bits, but PC part zero'ed out
79
output                      o_multiply_done,
80
 
81
 
82
// --------------------------------------------------
83
// Control signals from Instruction Decode stage
84
// --------------------------------------------------
85
input      [1:0]            i_status_bits_mode,
86
input                       i_status_bits_irq_mask,
87
input                       i_status_bits_firq_mask,
88
input      [31:0]           i_imm32,
89
input      [4:0]            i_imm_shift_amount,
90
input                       i_shift_imm_zero,
91
input      [3:0]            i_condition,
92
input                       i_decode_exclusive,       // swap access
93
 
94
input      [3:0]            i_rm_sel,
95
input      [3:0]            i_rs_sel,
96
input      [3:0]            i_rn_sel,
97
input      [1:0]            i_barrel_shift_amount_sel,
98
input      [1:0]            i_barrel_shift_data_sel,
99
input      [1:0]            i_barrel_shift_function,
100
input      [8:0]            i_alu_function,
101
input      [1:0]            i_multiply_function,
102
input      [2:0]            i_interrupt_vector_sel,
103
input      [3:0]            i_iaddress_sel,
104
input      [3:0]            i_daddress_sel,
105
input      [2:0]            i_pc_sel,
106
input      [1:0]            i_byte_enable_sel,
107
input      [2:0]            i_status_bits_sel,
108
input      [2:0]            i_reg_write_sel,
109
// input                       i_user_mode_regs_load,
110
input                       i_user_mode_regs_store_nxt,
111
input                       i_firq_not_user_mode,
112
 
113
input                       i_write_data_wen,
114
input                       i_base_address_wen,     // save LDM base address register, 
115
                                                    // in case of data abort
116
input                       i_pc_wen,
117
input      [14:0]           i_reg_bank_wen,
118
input                       i_status_bits_flags_wen,
119
input                       i_status_bits_mode_wen,
120
input                       i_status_bits_irq_mask_wen,
121
input                       i_status_bits_firq_mask_wen,
122
input                       i_copro_write_data_wen,
123 20 csantifort
input                       i_conflict,
124
input                       i_rn_use_read,
125
input                       i_rm_use_read,
126
input                       i_rs_use_read,
127
input                       i_rd_use_read
128 16 csantifort
);
129
 
130
`include "a25_localparams.v"
131
`include "a25_functions.v"
132
 
133
// ========================================================
134
// Internal signals
135
// ========================================================
136
wire [31:0]         write_data_nxt;
137
wire [3:0]          byte_enable_nxt;
138
wire [31:0]         pc_plus4;
139
wire [31:0]         pc_minus4;
140
wire [31:0]         daddress_plus4;
141
wire [31:0]         alu_plus4;
142
wire [31:0]         rn_plus4;
143
wire [31:0]         alu_out;
144
wire [3:0]          alu_flags;
145
wire [31:0]         rm;
146
wire [31:0]         rs;
147
wire [31:0]         rd;
148
wire [31:0]         rn;
149 20 csantifort
wire [31:0]         reg_bank_rn;
150
wire [31:0]         reg_bank_rm;
151
wire [31:0]         reg_bank_rs;
152
wire [31:0]         reg_bank_rd;
153 16 csantifort
wire [31:0]         pc;
154
wire [31:0]         pc_nxt;
155
wire [31:0]         interrupt_vector;
156
wire [7:0]          shift_amount;
157
wire [31:0]         barrel_shift_in;
158
wire [31:0]         barrel_shift_out;
159
wire                barrel_shift_carry;
160 35 csantifort
wire                barrel_shift_stall;
161 16 csantifort
 
162
wire [3:0]          status_bits_flags_nxt;
163
reg  [3:0]          status_bits_flags = 'd0;
164
wire [1:0]          status_bits_mode_nxt;
165
reg  [1:0]          status_bits_mode = SVC;
166
                    // one-hot encoded rs select
167
wire [3:0]          status_bits_mode_rds_oh_nxt;
168
reg  [3:0]          status_bits_mode_rds_oh = 1'd1 << OH_SVC;
169
wire                status_bits_mode_rds_oh_update;
170
wire                status_bits_irq_mask_nxt;
171
reg                 status_bits_irq_mask = 1'd1;
172
wire                status_bits_firq_mask_nxt;
173
reg                 status_bits_firq_mask = 1'd1;
174 35 csantifort
wire [8:0]          exec_load_rd_nxt;
175 16 csantifort
 
176
wire                execute;                    // high when condition execution is true
177
wire [31:0]         reg_write_nxt;
178
wire                pc_wen;
179
wire [14:0]         reg_bank_wen;
180
wire [31:0]         multiply_out;
181
wire [1:0]          multiply_flags;
182
reg  [31:0]         base_address = 'd0;             // Saves base address during LDM instruction in 
183
                                                    // case of data abort
184
wire [31:0]         read_data_filtered1;
185
wire [31:0]         read_data_filtered;
186 20 csantifort
wire [31:0]         read_data_filtered_c;
187
reg  [31:0]         read_data_filtered_r = 'd0;
188
reg  [3:0]          load_rd_r = 'd0;
189
wire [3:0]          load_rd_c;
190 16 csantifort
 
191
wire                write_enable_nxt;
192
wire                daddress_valid_nxt;
193
wire                iaddress_valid_nxt;
194
wire                priviledged_nxt;
195
wire                priviledged_update;
196
wire                iaddress_update;
197
wire                daddress_update;
198
wire                base_address_update;
199
wire                write_data_update;
200
wire                copro_write_data_update;
201
wire                byte_enable_update;
202
wire                exec_load_rd_update;
203
wire                write_enable_update;
204
wire                exclusive_update;
205
wire                status_bits_flags_update;
206
wire                status_bits_mode_update;
207
wire                status_bits_irq_mask_update;
208
wire                status_bits_firq_mask_update;
209
 
210
wire [31:0]         alu_out_pc_filtered;
211
wire                adex_nxt;
212
wire [31:0]         save_int_pc;
213
wire [31:0]         save_int_pc_m4;
214
wire                ldm_flags;
215
wire                ldm_status_bits;
216
 
217
// ========================================================
218
// Status Bits in PC register
219
// ========================================================
220 54 csantifort
wire [1:0] status_bits_mode_out;
221
assign status_bits_mode_out = (i_status_bits_mode_wen && i_status_bits_sel == 3'd1 && !ldm_status_bits) ?
222
                                    alu_out[1:0] : status_bits_mode ;
223
 
224 16 csantifort
assign o_status_bits = {   status_bits_flags,           // 31:28
225
                           status_bits_irq_mask,        // 7
226
                           status_bits_firq_mask,       // 6
227
                           24'd0,
228 54 csantifort
                           status_bits_mode_out };      // 1:0 = mode
229 16 csantifort
 
230
 
231
// ========================================================
232
// Status Bits Select
233
// ========================================================
234 35 csantifort
assign ldm_flags                 = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[8];
235
assign ldm_status_bits           = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[7];
236 16 csantifort
 
237
 
238
assign status_bits_flags_nxt     = ldm_flags                 ? read_data_filtered[31:28]           :
239
                                   i_status_bits_sel == 3'd0 ? alu_flags                           :
240
                                   i_status_bits_sel == 3'd1 ? alu_out          [31:28]            :
241
                                   i_status_bits_sel == 3'd3 ? i_copro_read_data[31:28]            :
242
                                   // 4 = update flags after a multiply operation
243
                                                        { multiply_flags, status_bits_flags[1:0] } ;
244
 
245
assign status_bits_mode_nxt      = ldm_status_bits           ? read_data_filtered [1:0] :
246
                                   i_status_bits_sel == 3'd0 ? i_status_bits_mode       :
247
                                   i_status_bits_sel == 3'd1 ? alu_out            [1:0] :
248
                                                               i_copro_read_data  [1:0] ;
249
 
250
 
251
// Used for the Rds output of register_bank - this special version of
252
// status_bits_mode speeds up the critical path from status_bits_mode through the
253
// register_bank, barrel_shifter and alu. It moves a mux needed for the
254
// i_user_mode_regs_store_nxt signal back into the previous stage -
255
// so its really part of the decode stage even though the logic is right here
256
// In addition the signal is one-hot encoded to further speed up the logic
257
 
258
assign status_bits_mode_rds_oh_nxt    = i_user_mode_regs_store_nxt ? 1'd1 << OH_USR                            :
259
                                        status_bits_mode_update    ? oh_status_bits_mode(status_bits_mode_nxt) :
260
                                                                     oh_status_bits_mode(status_bits_mode)     ;
261
 
262
 
263
assign status_bits_irq_mask_nxt  = ldm_status_bits           ? read_data_filtered     [27] :
264
                                   i_status_bits_sel == 3'd0 ? i_status_bits_irq_mask      :
265
                                   i_status_bits_sel == 3'd1 ? alu_out                [27] :
266
                                                               i_copro_read_data      [27] ;
267
 
268
assign status_bits_firq_mask_nxt = ldm_status_bits           ? read_data_filtered     [26] :
269
                                   i_status_bits_sel == 3'd0 ? i_status_bits_firq_mask     :
270
                                   i_status_bits_sel == 3'd1 ? alu_out                [26] :
271
                                                               i_copro_read_data      [26] ;
272
 
273
 
274
 
275
// ========================================================
276
// Adders
277
// ========================================================
278
assign pc_plus4       = pc         + 32'd4;
279
assign pc_minus4      = pc         - 32'd4;
280
assign daddress_plus4 = o_daddress + 32'd4;
281
assign alu_plus4      = alu_out    + 32'd4;
282
assign rn_plus4       = rn         + 32'd4;
283
 
284
// ========================================================
285
// Barrel Shift Amount Select
286
// ========================================================
287
// An immediate shift value of 0 is translated into 32
288
assign shift_amount = i_barrel_shift_amount_sel == 2'd0 ? 8'd0                         :
289
                      i_barrel_shift_amount_sel == 2'd1 ? rs[7:0]                      :
290
                                                          {3'd0, i_imm_shift_amount  } ;
291
 
292
 
293
// ========================================================
294
// Barrel Shift Data Select
295
// ========================================================
296
assign barrel_shift_in = i_barrel_shift_data_sel == 2'd0 ? i_imm32 : rm ;
297
 
298
 
299
// ========================================================
300
// Interrupt vector Select
301
// ========================================================
302
 
303
assign interrupt_vector = // Reset vector
304
                          (i_interrupt_vector_sel == 3'd0) ? 32'h00000000 :
305
                          // Data abort interrupt vector                 
306
                          (i_interrupt_vector_sel == 3'd1) ? 32'h00000010 :
307
                          // Fast interrupt vector  
308
                          (i_interrupt_vector_sel == 3'd2) ? 32'h0000001c :
309
                          // Regular interrupt vector
310
                          (i_interrupt_vector_sel == 3'd3) ? 32'h00000018 :
311
                          // Prefetch abort interrupt vector
312
                          (i_interrupt_vector_sel == 3'd5) ? 32'h0000000c :
313
                          // Undefined instruction interrupt vector
314
                          (i_interrupt_vector_sel == 3'd6) ? 32'h00000004 :
315
                          // Software (SWI) interrupt vector
316
                          (i_interrupt_vector_sel == 3'd7) ? 32'h00000008 :
317
                          // Default is the address exception interrupt
318
                                                             32'h00000014 ;
319
 
320
 
321
// ========================================================
322
// Address Select
323
// ========================================================
324
assign pc_dmem_wen    = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[3:0] == 4'd15;
325
 
326
// If rd is the pc, then seperate the address bits from the status bits for
327
// generating the next address to fetch
328
assign alu_out_pc_filtered = pc_wen && i_pc_sel == 3'd1 ? pcf(alu_out) : alu_out;
329
 
330
// if current instruction does not execute because it does not meet the condition
331
// then address advances to next instruction
332
assign o_iaddress_nxt = (pc_dmem_wen)            ? pcf(read_data_filtered) :
333
                        (!execute)               ? pc_plus4                :
334
                        (i_iaddress_sel == 4'd0) ? pc_plus4                :
335
                        (i_iaddress_sel == 4'd1) ? alu_out_pc_filtered     :
336
                        (i_iaddress_sel == 4'd2) ? interrupt_vector        :
337
                                                   pc                      ;
338
 
339
 
340
 
341
// if current instruction does not execute because it does not meet the condition
342
// then address advances to next instruction
343
assign o_daddress_nxt = (i_daddress_sel == 4'd1) ? alu_out_pc_filtered   :
344
                        (i_daddress_sel == 4'd2) ? interrupt_vector      :
345
                        (i_daddress_sel == 4'd4) ? rn                    :
346
                        (i_daddress_sel == 4'd5) ? daddress_plus4        :  // MTRANS address incrementer
347
                        (i_daddress_sel == 4'd6) ? alu_plus4             :  // MTRANS decrement after
348
                                                   rn_plus4              ;  // MTRANS increment before
349
 
350
// Data accesses use 32-bit address space, but instruction
351
// accesses are restricted to 26 bit space
352
assign adex_nxt      = |o_iaddress_nxt[31:26] && i_decode_iaccess;
353
 
354
 
355
// ========================================================
356
// Filter Read Data
357
// ========================================================
358 35 csantifort
// mem_load_rd[10:9]-> shift ROR bytes
359
// mem_load_rd[8]   -> load flags with PC
360
// mem_load_rd[7]   -> load status bits with PC
361
// mem_load_rd[6:5] -> Write into this Mode registers
362 16 csantifort
// mem_load_rd[4]   -> zero_extend byte
363
// mem_load_rd[3:0] -> Destination Register 
364 53 csantifort
assign read_data_filtered1 = i_wb_load_rd[10:9] == 2'd0 ? i_wb_read_data                                :
365
                             i_wb_load_rd[10:9] == 2'd1 ? {i_wb_read_data[7:0],  i_wb_read_data[31:8]}  :
366
                             i_wb_load_rd[10:9] == 2'd2 ? {i_wb_read_data[15:0], i_wb_read_data[31:16]} :
367
                                                          {i_wb_read_data[23:0], i_wb_read_data[31:24]} ;
368 16 csantifort
 
369
assign read_data_filtered  = i_wb_load_rd[4] ? {24'd0, read_data_filtered1[7:0]} : read_data_filtered1 ;
370
 
371
 
372
// ========================================================
373
// Program Counter Select
374
// ========================================================
375
// If current instruction does not execute because it does not meet the condition
376
// then PC advances to next instruction
377
assign pc_nxt = (!execute)       ? pc_plus4                :
378
                i_pc_sel == 3'd0 ? pc_plus4                :
379
                i_pc_sel == 3'd1 ? alu_out                 :
380
                i_pc_sel == 3'd2 ? interrupt_vector        :
381
                i_pc_sel == 3'd3 ? pcf(read_data_filtered) :
382
                                   pc_minus4               ;
383
 
384
 
385
// ========================================================
386
// Register Write Select
387
// ========================================================
388
 
389
assign save_int_pc    = { status_bits_flags,
390
                          status_bits_irq_mask,
391
                          status_bits_firq_mask,
392
                          pc[25:2],
393
                          status_bits_mode      };
394
 
395
 
396
assign save_int_pc_m4 = { status_bits_flags,
397
                          status_bits_irq_mask,
398
                          status_bits_firq_mask,
399
                          pc_minus4[25:2],
400
                          status_bits_mode      };
401
 
402
 
403
assign reg_write_nxt = i_reg_write_sel == 3'd0 ? alu_out               :
404
                       // save pc to lr on an interrupt                    
405
                       i_reg_write_sel == 3'd1 ? save_int_pc_m4        :
406
                       // to update Rd at the end of Multiplication
407
                       i_reg_write_sel == 3'd2 ? multiply_out          :
408
                       i_reg_write_sel == 3'd3 ? o_status_bits         :
409
                       i_reg_write_sel == 3'd5 ? i_copro_read_data     :  // mrc
410
                       i_reg_write_sel == 3'd6 ? base_address          :
411
                                                 save_int_pc           ;
412
 
413
 
414
// ========================================================
415
// Byte Enable Select
416
// ========================================================
417
assign byte_enable_nxt = i_byte_enable_sel == 2'd0   ? 4'b1111 :  // word write
418
                         i_byte_enable_sel == 2'd2   ?            // halfword write
419
                         ( o_daddress_nxt[1] == 1'd0 ? 4'b0011 :
420
                                                       4'b1100  ) :
421
 
422
                         o_daddress_nxt[1:0] == 2'd0 ? 4'b0001 :  // byte write
423
                         o_daddress_nxt[1:0] == 2'd1 ? 4'b0010 :
424
                         o_daddress_nxt[1:0] == 2'd2 ? 4'b0100 :
425
                                                       4'b1000 ;
426
 
427
 
428
// ========================================================
429
// Write Data Select
430
// ========================================================
431
assign write_data_nxt = i_byte_enable_sel == 2'd0 ? rd            :
432
                                                    {4{rd[ 7:0]}} ;
433
 
434
 
435
// ========================================================
436
// Conditional Execution
437
// ========================================================
438
assign execute = conditional_execute ( i_condition, status_bits_flags );
439
 
440
// allow the PC to increment to the next instruction when current
441
// instruction does not execute
442
assign pc_wen       = (i_pc_wen || !execute) && !i_conflict;
443
 
444
// only update register bank if current instruction executes
445
assign reg_bank_wen = {{15{execute}} & i_reg_bank_wen};
446
 
447
 
448
// ========================================================
449
// Priviledged output flag
450
// ========================================================
451
// Need to look at status_bits_mode_nxt so switch to priviledged mode
452
// at the same time as assert interrupt vector address
453
assign priviledged_nxt  = ( i_status_bits_mode_wen ? status_bits_mode_nxt : status_bits_mode ) != USR ;
454
 
455
 
456
// ========================================================
457
// Write Enable
458
// ========================================================
459
// This must be de-asserted when execute is fault
460
assign write_enable_nxt = execute && i_write_data_wen;
461
 
462
 
463
// ========================================================
464
// Address Valid
465
// ========================================================
466 35 csantifort
assign daddress_valid_nxt = execute && i_decode_daccess && !i_core_stall;
467 16 csantifort
 
468 20 csantifort
// For some multi-cycle instructions, the stream of instrution
469
// reads can be paused. However if the instruction does not execute
470
// then the read stream must not be interrupted.
471
assign iaddress_valid_nxt = i_decode_iaccess || !execute;
472 16 csantifort
 
473 20 csantifort
 
474 16 csantifort
// ========================================================
475 20 csantifort
// Use read value from data memory instead of from register
476
// ========================================================
477
assign rn = i_rn_use_read && i_rn_sel == load_rd_c ? read_data_filtered_c : reg_bank_rn;
478
assign rm = i_rm_use_read && i_rm_sel == load_rd_c ? read_data_filtered_c : reg_bank_rm;
479
assign rs = i_rs_use_read && i_rs_sel == load_rd_c ? read_data_filtered_c : reg_bank_rs;
480
assign rd = i_rd_use_read && i_rs_sel == load_rd_c ? read_data_filtered_c : reg_bank_rd;
481
 
482
 
483
always@( posedge i_clk )
484
    if ( i_wb_read_data_valid )
485
        begin
486
        read_data_filtered_r <= read_data_filtered;
487
        load_rd_r            <= i_wb_load_rd[3:0];
488
        end
489
 
490
assign read_data_filtered_c = i_wb_read_data_valid ? read_data_filtered : read_data_filtered_r;
491
assign load_rd_c            = i_wb_read_data_valid ? i_wb_load_rd[3:0]  : load_rd_r;
492
 
493
 
494
// ========================================================
495 35 csantifort
// Set mode for the destination registers of a mem read
496
// ========================================================
497
// The mode is either user mode, or the current mode
498
assign  exec_load_rd_nxt   = { i_decode_load_rd[7:6],
499
                               i_decode_load_rd[5] ? USR : status_bits_mode,  // 1 bit -> 2 bits
500
                               i_decode_load_rd[4:0] };
501
 
502
 
503
// ========================================================
504 16 csantifort
// Register Update
505
// ========================================================
506 35 csantifort
assign o_exec_stall                    = barrel_shift_stall;
507 16 csantifort
 
508 35 csantifort
assign daddress_update                 = !i_core_stall;
509
assign exec_load_rd_update             = !i_core_stall && execute;
510
assign priviledged_update              = !i_core_stall;
511
assign exclusive_update                = !i_core_stall && execute;
512
assign write_enable_update             = !i_core_stall;
513
assign write_data_update               = !i_core_stall && execute && i_write_data_wen;
514
assign byte_enable_update              = !i_core_stall && execute && i_write_data_wen;
515 16 csantifort
 
516 35 csantifort
assign iaddress_update                 = pc_dmem_wen || (!i_core_stall && !i_conflict);
517
assign copro_write_data_update         = !i_core_stall && execute && i_copro_write_data_wen;
518 16 csantifort
 
519 35 csantifort
assign base_address_update             = !i_core_stall && execute && i_base_address_wen;
520
assign status_bits_flags_update        = ldm_flags       || (!i_core_stall && execute && i_status_bits_flags_wen);
521
assign status_bits_mode_update         = ldm_status_bits || (!i_core_stall && execute && i_status_bits_mode_wen);
522
assign status_bits_mode_rds_oh_update  = !i_core_stall;
523
assign status_bits_irq_mask_update     = ldm_status_bits || (!i_core_stall && execute && i_status_bits_irq_mask_wen);
524
assign status_bits_firq_mask_update    = ldm_status_bits || (!i_core_stall && execute && i_status_bits_firq_mask_wen);
525 16 csantifort
 
526
 
527
always @( posedge i_clk )
528
    begin
529
    o_daddress              <= daddress_update                ? o_daddress_nxt               : o_daddress;
530
    o_daddress_valid        <= daddress_update                ? daddress_valid_nxt           : o_daddress_valid;
531 35 csantifort
    o_exec_load_rd          <= exec_load_rd_update            ? exec_load_rd_nxt             : o_exec_load_rd;
532 16 csantifort
    o_priviledged           <= priviledged_update             ? priviledged_nxt              : o_priviledged;
533
    o_exclusive             <= exclusive_update               ? i_decode_exclusive           : o_exclusive;
534
    o_write_enable          <= write_enable_update            ? write_enable_nxt             : o_write_enable;
535
    o_write_data            <= write_data_update              ? write_data_nxt               : o_write_data;
536
    o_byte_enable           <= byte_enable_update             ? byte_enable_nxt              : o_byte_enable;
537
    o_iaddress              <= iaddress_update                ? o_iaddress_nxt               : o_iaddress;
538
    o_iaddress_valid        <= iaddress_update                ? iaddress_valid_nxt           : o_iaddress_valid;
539
    o_adex                  <= iaddress_update                ? adex_nxt                     : o_adex;
540
    o_copro_write_data      <= copro_write_data_update        ? write_data_nxt               : o_copro_write_data;
541
 
542
    base_address            <= base_address_update            ? rn                           : base_address;
543
 
544
    status_bits_flags       <= status_bits_flags_update       ? status_bits_flags_nxt        : status_bits_flags;
545
    status_bits_mode        <= status_bits_mode_update        ? status_bits_mode_nxt         : status_bits_mode;
546
    status_bits_mode_rds_oh <= status_bits_mode_rds_oh_update ? status_bits_mode_rds_oh_nxt  : status_bits_mode_rds_oh;
547
    status_bits_irq_mask    <= status_bits_irq_mask_update    ? status_bits_irq_mask_nxt     : status_bits_irq_mask;
548
    status_bits_firq_mask   <= status_bits_firq_mask_update   ? status_bits_firq_mask_nxt    : status_bits_firq_mask;
549
    end
550
 
551 35 csantifort
 
552 16 csantifort
// ========================================================
553
// Instantiate Barrel Shift
554
// ========================================================
555
a25_barrel_shift u_barrel_shift  (
556 35 csantifort
    .i_clk            ( i_clk                     ),
557 16 csantifort
    .i_in             ( barrel_shift_in           ),
558
    .i_carry_in       ( status_bits_flags[1]      ),
559
    .i_shift_amount   ( shift_amount              ),
560
    .i_shift_imm_zero ( i_shift_imm_zero          ),
561
    .i_function       ( i_barrel_shift_function   ),
562
 
563
    .o_out            ( barrel_shift_out          ),
564 35 csantifort
    .o_carry_out      ( barrel_shift_carry        ),
565
    .o_stall          ( barrel_shift_stall        )
566 16 csantifort
);
567
 
568
 
569
// ========================================================
570
// Instantiate ALU
571
// ========================================================
572
a25_alu u_alu (
573
    .i_a_in                 ( rn                    ),
574
    .i_b_in                 ( barrel_shift_out      ),
575
    .i_barrel_shift_carry   ( barrel_shift_carry    ),
576
    .i_status_bits_carry    ( status_bits_flags[1]  ),
577
    .i_function             ( i_alu_function        ),
578
 
579
    .o_out                  ( alu_out               ),
580
    .o_flags                ( alu_flags             )
581
);
582
 
583
 
584
// ========================================================
585
// Instantiate Booth 64-bit Multiplier-Accumulator
586
// ========================================================
587
a25_multiply u_multiply (
588
    .i_clk          ( i_clk                 ),
589 35 csantifort
    .i_core_stall   ( i_core_stall          ),
590 16 csantifort
    .i_a_in         ( rs                    ),
591
    .i_b_in         ( rm                    ),
592
    .i_function     ( i_multiply_function   ),
593
    .i_execute      ( execute               ),
594
    .o_out          ( multiply_out          ),
595
    .o_flags        ( multiply_flags        ),  // [1] = N, [0] = Z
596
    .o_done         ( o_multiply_done       )
597
);
598
 
599
 
600
// ========================================================
601
// Instantiate Register Bank
602
// ========================================================
603
a25_register_bank u_register_bank(
604
    .i_clk                   ( i_clk                     ),
605 35 csantifort
    .i_core_stall            ( i_core_stall              ),
606 16 csantifort
    .i_mem_stall             ( i_mem_stall               ),
607
    .i_rm_sel                ( i_rm_sel                  ),
608
    .i_rs_sel                ( i_rs_sel                  ),
609
    .i_rn_sel                ( i_rn_sel                  ),
610
    .i_pc_wen                ( pc_wen                    ),
611
    .i_reg_bank_wen          ( reg_bank_wen              ),
612
    .i_pc                    ( pc_nxt[25:2]              ),
613
    .i_reg                   ( reg_write_nxt             ),
614
    .i_mode_idec             ( i_status_bits_mode        ),
615
    .i_mode_exec             ( status_bits_mode          ),
616
 
617
    .i_wb_read_data          ( read_data_filtered        ),
618
    .i_wb_read_data_valid    ( i_wb_read_data_valid      ),
619
    .i_wb_read_data_rd       ( i_wb_load_rd[3:0]         ),
620 35 csantifort
    .i_wb_mode               ( i_wb_load_rd[6:5]         ),
621 16 csantifort
 
622
    .i_status_bits_flags     ( status_bits_flags         ),
623
    .i_status_bits_irq_mask  ( status_bits_irq_mask      ),
624
    .i_status_bits_firq_mask ( status_bits_firq_mask     ),
625
 
626
    // pre-encoded in decode stage to speed up long path
627
    .i_firq_not_user_mode    ( i_firq_not_user_mode      ),
628
 
629
    // use one-hot version for speed, combine with i_user_mode_regs_store
630
    .i_mode_rds_exec         ( status_bits_mode_rds_oh   ),
631
 
632 20 csantifort
    .o_rm                    ( reg_bank_rm               ),
633
    .o_rs                    ( reg_bank_rs               ),
634
    .o_rd                    ( reg_bank_rd               ),
635
    .o_rn                    ( reg_bank_rn               ),
636 16 csantifort
    .o_pc                    ( pc                        )
637
);
638
 
639
 
640 20 csantifort
 
641 16 csantifort
// ========================================================
642
// Debug - non-synthesizable code
643
// ========================================================
644
//synopsys translate_off
645
 
646
wire    [(2*8)-1:0]    xCONDITION;
647
wire    [(4*8)-1:0]    xMODE;
648
 
649
assign  xCONDITION           = i_condition == EQ ? "EQ"  :
650
                               i_condition == NE ? "NE"  :
651
                               i_condition == CS ? "CS"  :
652
                               i_condition == CC ? "CC"  :
653
                               i_condition == MI ? "MI"  :
654
                               i_condition == PL ? "PL"  :
655
                               i_condition == VS ? "VS"  :
656
                               i_condition == VC ? "VC"  :
657
                               i_condition == HI ? "HI"  :
658
                               i_condition == LS ? "LS"  :
659
                               i_condition == GE ? "GE"  :
660
                               i_condition == LT ? "LT"  :
661
                               i_condition == GT ? "GT"  :
662
                               i_condition == LE ? "LE"  :
663
                               i_condition == AL ? "AL"  :
664
                                                   "NV " ;
665
 
666
assign  xMODE  =  status_bits_mode == SVC  ? "SVC"  :
667
                  status_bits_mode == IRQ  ? "IRQ"  :
668
                  status_bits_mode == FIRQ ? "FIRQ" :
669
                  status_bits_mode == USR  ? "USR"  :
670
                                             "XXX"  ;
671
 
672
 
673
//synopsys translate_on
674
 
675
endmodule
676
 
677
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.