OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Altera/] [DE0_nano/] [DE0_nano.qsf] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
# Copyright (C) 1991-2011 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
# Altera recommends that you do not modify this file. This
16
# file is updated automatically by the Quartus II software
17
# and any changes you make may be lost or overwritten.
18
 
19
 
20
set_global_assignment -name FAMILY "Cyclone IV E"
21
set_global_assignment -name DEVICE EP4CE22F17C6
22
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
23
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
24
 
25
# Pin & Location Assignments
26
# ==========================
27
#============================================================
28
# CLOCK
29
#============================================================
30
set_location_assignment PIN_R8 -to CLOCK_50
31
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
32
 
33
#============================================================
34
# LED
35
#============================================================
36
set_location_assignment PIN_A15 -to LED[0]
37
set_location_assignment PIN_A13 -to LED[1]
38
set_location_assignment PIN_B13 -to LED[2]
39
set_location_assignment PIN_A11 -to LED[3]
40
set_location_assignment PIN_D1 -to LED[4]
41
set_location_assignment PIN_F3 -to LED[5]
42
set_location_assignment PIN_B1 -to LED[6]
43
set_location_assignment PIN_L3 -to LED[7]
44
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
45
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
46
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
47
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
48
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
49
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
50
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
51
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
52
 
53
#============================================================
54
# KEY
55
#============================================================
56
set_location_assignment PIN_J15 -to KEY[0]
57
set_location_assignment PIN_E1 -to KEY[1]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
59
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
60
 
61
#============================================================
62
# SW
63
#============================================================
64
set_location_assignment PIN_M1 -to SW[0]
65
set_location_assignment PIN_T8 -to SW[1]
66
set_location_assignment PIN_B9 -to SW[2]
67
set_location_assignment PIN_M15 -to SW[3]
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
69
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
72
 
73
#============================================================
74
# SDRAM
75
#============================================================
76
set_location_assignment PIN_P2 -to DRAM_ADDR[0]
77
set_location_assignment PIN_N5 -to DRAM_ADDR[1]
78
set_location_assignment PIN_N6 -to DRAM_ADDR[2]
79
set_location_assignment PIN_M8 -to DRAM_ADDR[3]
80
set_location_assignment PIN_P8 -to DRAM_ADDR[4]
81
set_location_assignment PIN_T7 -to DRAM_ADDR[5]
82
set_location_assignment PIN_N8 -to DRAM_ADDR[6]
83
set_location_assignment PIN_T6 -to DRAM_ADDR[7]
84
set_location_assignment PIN_R1 -to DRAM_ADDR[8]
85
set_location_assignment PIN_P1 -to DRAM_ADDR[9]
86
set_location_assignment PIN_N2 -to DRAM_ADDR[10]
87
set_location_assignment PIN_N1 -to DRAM_ADDR[11]
88
set_location_assignment PIN_L4 -to DRAM_ADDR[12]
89
set_location_assignment PIN_M7 -to DRAM_BA[0]
90
set_location_assignment PIN_M6 -to DRAM_BA[1]
91
set_location_assignment PIN_L7 -to DRAM_CKE
92
set_location_assignment PIN_R4 -to DRAM_CLK
93
set_location_assignment PIN_P6 -to DRAM_CS_N
94
set_location_assignment PIN_G2 -to DRAM_DQ[0]
95
set_location_assignment PIN_G1 -to DRAM_DQ[1]
96
set_location_assignment PIN_L8 -to DRAM_DQ[2]
97
set_location_assignment PIN_K5 -to DRAM_DQ[3]
98
set_location_assignment PIN_K2 -to DRAM_DQ[4]
99
set_location_assignment PIN_J2 -to DRAM_DQ[5]
100
set_location_assignment PIN_J1 -to DRAM_DQ[6]
101
set_location_assignment PIN_R7 -to DRAM_DQ[7]
102
set_location_assignment PIN_T4 -to DRAM_DQ[8]
103
set_location_assignment PIN_T2 -to DRAM_DQ[9]
104
set_location_assignment PIN_T3 -to DRAM_DQ[10]
105
set_location_assignment PIN_R3 -to DRAM_DQ[11]
106
set_location_assignment PIN_R5 -to DRAM_DQ[12]
107
set_location_assignment PIN_P3 -to DRAM_DQ[13]
108
set_location_assignment PIN_N3 -to DRAM_DQ[14]
109
set_location_assignment PIN_K1 -to DRAM_DQ[15]
110
set_location_assignment PIN_R6 -to DRAM_DQM[0]
111
set_location_assignment PIN_T5 -to DRAM_DQM[1]
112
set_location_assignment PIN_L1 -to DRAM_CAS_N
113
set_location_assignment PIN_L2 -to DRAM_RAS_N
114
set_location_assignment PIN_C2 -to DRAM_WE_N
115
 
116
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
117
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
119
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
121
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
123
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
125
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
126
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
127
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
128
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
129
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
130
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
131
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
133
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
135
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
137
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
139
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
141
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
143
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
145
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0]
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
155
 
156
#============================================================
157
# Accelerometer and EEPROM
158
#============================================================
159
set_location_assignment PIN_F2 -to I2C_SCLK
160
set_location_assignment PIN_F1 -to I2C_SDAT
161
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
163
 
164
set_location_assignment PIN_G5 -to G_SENSOR_CS_N
165
set_location_assignment PIN_M2 -to G_SENSOR_INT
166
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to G_SENSOR_CS_N
167
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to G_SENSOR_INT
168
 
169
#============================================================
170
# ADC
171
#============================================================
172
set_location_assignment PIN_A10 -to ADC_CS_N
173
set_location_assignment PIN_B10 -to ADC_SADDR
174
set_location_assignment PIN_B14 -to ADC_SCLK
175
set_location_assignment PIN_A9 -to ADC_SDAT
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CS_N
177
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SADDR
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCLK
179
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDAT
180
 
181
#============================================================
182
# 2x13 GPIO Header
183
#============================================================
184
set_location_assignment PIN_A14 -to GPIO_2[0]
185
set_location_assignment PIN_B16 -to GPIO_2[1]
186
set_location_assignment PIN_C14 -to GPIO_2[2]
187
set_location_assignment PIN_C16 -to GPIO_2[3]
188
set_location_assignment PIN_C15 -to GPIO_2[4]
189
set_location_assignment PIN_D16 -to GPIO_2[5]
190
set_location_assignment PIN_D15 -to GPIO_2[6]
191
set_location_assignment PIN_D14 -to GPIO_2[7]
192
set_location_assignment PIN_F15 -to GPIO_2[8]
193
set_location_assignment PIN_F16 -to GPIO_2[9]
194
set_location_assignment PIN_F14 -to GPIO_2[10]
195
set_location_assignment PIN_G16 -to GPIO_2[11]
196
set_location_assignment PIN_G15 -to GPIO_2[12]
197
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[0]
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[1]
199
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[2]
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[3]
201
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[4]
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[5]
203
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[6]
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[7]
205
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[8]
206
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[9]
207
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[10]
208
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[11]
209
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[12]
210
 
211
set_location_assignment PIN_E15 -to GPIO_2_IN[0]
212
set_location_assignment PIN_E16 -to GPIO_2_IN[1]
213
set_location_assignment PIN_M16 -to GPIO_2_IN[2]
214
 
215
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[0]
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[1]
217
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[2]
218
 
219
#============================================================
220
# GPIO_0, GPIO_0 connect to GPIO Default
221
#============================================================
222
set_location_assignment PIN_A8 -to GPIO_0_IN[0]
223
set_location_assignment PIN_D3 -to GPIO_0[0]
224
set_location_assignment PIN_B8 -to GPIO_0_IN[1]
225
set_location_assignment PIN_C3 -to GPIO_0[1]
226
set_location_assignment PIN_A2 -to GPIO_0[2]
227
set_location_assignment PIN_A3 -to GPIO_0[3]
228
set_location_assignment PIN_B3 -to GPIO_0[4]
229
set_location_assignment PIN_B4 -to GPIO_0[5]
230
set_location_assignment PIN_A4 -to GPIO_0[6]
231
set_location_assignment PIN_B5 -to GPIO_0[7]
232
set_location_assignment PIN_A5 -to GPIO_0[8]
233
set_location_assignment PIN_D5 -to GPIO_0[9]
234
set_location_assignment PIN_B6 -to GPIO_0[10]
235
set_location_assignment PIN_A6 -to GPIO_0[11]
236
set_location_assignment PIN_B7 -to GPIO_0[12]
237
set_location_assignment PIN_D6 -to GPIO_0[13]
238
set_location_assignment PIN_A7 -to GPIO_0[14]
239
set_location_assignment PIN_C6 -to GPIO_0[15]
240
set_location_assignment PIN_C8 -to GPIO_0[16]
241
set_location_assignment PIN_E6 -to GPIO_0[17]
242
set_location_assignment PIN_E7 -to GPIO_0[18]
243
set_location_assignment PIN_D8 -to GPIO_0[19]
244
set_location_assignment PIN_E8 -to GPIO_0[20]
245
set_location_assignment PIN_F8 -to GPIO_0[21]
246
set_location_assignment PIN_F9 -to GPIO_0[22]
247
set_location_assignment PIN_E9 -to GPIO_0[23]
248
set_location_assignment PIN_C9 -to GPIO_0[24]
249
set_location_assignment PIN_D9 -to GPIO_0[25]
250
set_location_assignment PIN_E11 -to GPIO_0[26]
251
set_location_assignment PIN_E10 -to GPIO_0[27]
252
set_location_assignment PIN_C11 -to GPIO_0[28]
253
set_location_assignment PIN_B11 -to GPIO_0[29]
254
set_location_assignment PIN_A12 -to GPIO_0[30]
255
set_location_assignment PIN_D11 -to GPIO_0[31]
256
set_location_assignment PIN_D12 -to GPIO_0[32]
257
set_location_assignment PIN_B12 -to GPIO_0[33]
258
 
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0_IN[0]
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0_IN[1]
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
281
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
289
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
291
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
292
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
293
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
295
 
296
#============================================================
297
# GPIO_0, GPIO_1 connect to GPIO Default
298
#============================================================
299
set_location_assignment PIN_T9 -to GPIO_1_IN[0]
300
set_location_assignment PIN_F13 -to GPIO_1[0]
301
set_location_assignment PIN_R9 -to GPIO_1_IN[1]
302
set_location_assignment PIN_T15 -to GPIO_1[1]
303
set_location_assignment PIN_T14 -to GPIO_1[2]
304
set_location_assignment PIN_T13 -to GPIO_1[3]
305
set_location_assignment PIN_R13 -to GPIO_1[4]
306
set_location_assignment PIN_T12 -to GPIO_1[5]
307
set_location_assignment PIN_R12 -to GPIO_1[6]
308
set_location_assignment PIN_T11 -to GPIO_1[7]
309
set_location_assignment PIN_T10 -to GPIO_1[8]
310
set_location_assignment PIN_R11 -to GPIO_1[9]
311
set_location_assignment PIN_P11 -to GPIO_1[10]
312
set_location_assignment PIN_R10 -to GPIO_1[11]
313
set_location_assignment PIN_N12 -to GPIO_1[12]
314
set_location_assignment PIN_P9 -to GPIO_1[13]
315
set_location_assignment PIN_N9 -to GPIO_1[14]
316
set_location_assignment PIN_N11 -to GPIO_1[15]
317
set_location_assignment PIN_L16 -to GPIO_1[16]
318
set_location_assignment PIN_K16 -to GPIO_1[17]
319
set_location_assignment PIN_R16 -to GPIO_1[18]
320
set_location_assignment PIN_L15 -to GPIO_1[19]
321
set_location_assignment PIN_P15 -to GPIO_1[20]
322
set_location_assignment PIN_P16 -to GPIO_1[21]
323
set_location_assignment PIN_R14 -to GPIO_1[22]
324
set_location_assignment PIN_N16 -to GPIO_1[23]
325
set_location_assignment PIN_N15 -to GPIO_1[24]
326
set_location_assignment PIN_P14 -to GPIO_1[25]
327
set_location_assignment PIN_L14 -to GPIO_1[26]
328
set_location_assignment PIN_N14 -to GPIO_1[27]
329
set_location_assignment PIN_M10 -to GPIO_1[28]
330
set_location_assignment PIN_L13 -to GPIO_1[29]
331
set_location_assignment PIN_J16 -to GPIO_1[30]
332
set_location_assignment PIN_K15 -to GPIO_1[31]
333
set_location_assignment PIN_J13 -to GPIO_1[32]
334
set_location_assignment PIN_J14 -to GPIO_1[33]
335
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_IN[0]
336
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
337
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1_IN[1]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
339
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
341
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
343
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
345
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
347
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
348
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
349
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
350
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
351
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
352
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
353
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
354
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
355
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
356
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
357
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
358
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
359
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
360
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
361
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
362
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
363
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
364
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
365
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
366
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
367
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
368
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
369
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
370
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
371
 
372
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
373
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
374
 
375
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
376
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
377
 
378
set_instance_assignment -name FAST_INPUT_REGISTER ON -to *
379
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to *
380
set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to *
381
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to *
382
 
383
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.