OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Altera/] [DE0_nano/] [DE0_nano.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
//=======================================================
2
//  This code is generated by Terasic System Builder
3
//=======================================================
4
 
5
module DE0_Nano(
6
 
7
        //////////// CLOCK //////////
8
        CLOCK_50,
9
 
10
        //////////// LED //////////
11
        LED,
12
 
13
        //////////// KEY //////////
14
        KEY,
15
 
16
        //////////// SW //////////
17
        SW,
18
 
19
        //////////// SDRAM //////////
20
        DRAM_ADDR,
21
        DRAM_BA,
22
        DRAM_CAS_N,
23
        DRAM_CKE,
24
        DRAM_CLK,
25
        DRAM_CS_N,
26
        DRAM_DQ,
27
        DRAM_DQM,
28
        DRAM_RAS_N,
29
        DRAM_WE_N,
30
 
31
        //////////// EPCS //////////
32
        EPCS_ASDO,
33
        EPCS_DATA0,
34
        EPCS_DCLK,
35
        EPCS_NCSO,
36
 
37
        //////////// Accelerometer and EEPROM //////////
38
        G_SENSOR_CS_N,
39
        G_SENSOR_INT,
40
        I2C_SCLK,
41
        I2C_SDAT,
42
 
43
        //////////// ADC //////////
44
        ADC_CS_N,
45
        ADC_SADDR,
46
        ADC_SCLK,
47
        ADC_SDAT,
48
 
49
        //////////// 2x13 GPIO Header //////////
50
        GPIO_2,
51
        GPIO_2_IN,
52
 
53
        //////////// GPIO_0, GPIO_0 connect to GPIO Default //////////
54
        GPIO_0,
55
        GPIO_0_IN,
56
 
57
        //////////// GPIO_1, GPIO_1 connect to GPIO Default //////////
58
        GPIO_1,
59
        GPIO_1_IN
60
);
61
 
62
//=======================================================
63
//  PARAMETER declarations
64
//=======================================================
65
 
66
 
67
//=======================================================
68
//  PORT declarations
69
//=======================================================
70
 
71
//////////// CLOCK //////////
72
input                                   CLOCK_50;
73
 
74
//////////// LED //////////
75
output               [7:0]               LED;
76
 
77
//////////// KEY //////////
78
input                [1:0]               KEY;
79
 
80
//////////// SW //////////
81
input                [3:0]               SW;
82
 
83
//////////// SDRAM //////////
84
output              [12:0]               DRAM_ADDR;
85
output               [1:0]               DRAM_BA;
86
output                                  DRAM_CAS_N;
87
output                                  DRAM_CKE;
88
output                                  DRAM_CLK;
89
output                                  DRAM_CS_N;
90
inout               [15:0]               DRAM_DQ;
91
output               [1:0]               DRAM_DQM;
92
output                                  DRAM_RAS_N;
93
output                                  DRAM_WE_N;
94
 
95
//////////// EPCS //////////
96
output                                  EPCS_ASDO;
97
input                                   EPCS_DATA0;
98
output                                  EPCS_DCLK;
99
output                                  EPCS_NCSO;
100
 
101
//////////// Accelerometer and EEPROM //////////
102
output                                  G_SENSOR_CS_N;
103
input                                   G_SENSOR_INT;
104
output                                  I2C_SCLK;
105
inout                                   I2C_SDAT;
106
 
107
//////////// ADC //////////
108
output                                  ADC_CS_N;
109
output                                  ADC_SADDR;
110
output                                  ADC_SCLK;
111
input                                   ADC_SDAT;
112
 
113
//////////// 2x13 GPIO Header //////////
114
inout               [12:0]               GPIO_2;
115
input                [2:0]               GPIO_2_IN;
116
 
117
//////////// GPIO_0, GPIO_0 connect to GPIO Default //////////
118
inout               [33:0]               GPIO_0;
119
input                [1:0]               GPIO_0_IN;
120
 
121
//////////// GPIO_1, GPIO_1 connect to GPIO Default //////////
122
inout               [33:0]               GPIO_1;
123
input                [1:0]               GPIO_1_IN;
124
 
125
 
126
//=======================================================
127
//  REG/WIRE declarations
128
//=======================================================
129
 
130
 
131
 
132
 
133
//=======================================================
134
//  Structural coding
135
//=======================================================
136
 
137
 
138
 
139
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.