OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Altera/] [DE10_Nano_VB2/] [DE10_Nano_VB2.qsf] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
#============================================================
2
# Build by Terasic V1.0.0
3
#============================================================
4
 
5
 
6
set_global_assignment -name FAMILY "Cyclone V"
7
set_global_assignment -name DEVICE 5CSEBA6U23I7
8
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.2
9
set_global_assignment -name LAST_QUARTUS_VERSION 16.0.2
10
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:08:14  OCTOBER 14, 2016"
11
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
12
 
13
 
14
 
15
#============================================================
16
# ADC
17
#============================================================
18
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST
19
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK
20
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI
21
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO
22
 
23
#============================================================
24
# ARDUINO
25
#============================================================
26
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0]
27
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1]
28
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2]
29
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3]
30
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4]
31
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5]
32
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6]
33
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7]
34
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8]
35
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9]
36
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10]
37
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11]
38
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12]
39
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13]
40
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14]
41
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15]
42
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N
43
 
44
#============================================================
45
# FPGA
46
#============================================================
47
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50
48
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK2_50
49
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK3_50
50
 
51
#============================================================
52
# GPIO
53
#============================================================
54
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
55
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
56
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
57
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
59
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
61
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
63
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
65
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
67
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
69
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
79
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
81
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
82
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
83
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
84
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
85
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
86
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
87
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
89
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
91
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
93
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
95
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
97
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
99
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
101
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
103
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
105
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
107
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
109
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
111
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
113
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
114
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
115
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
116
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
117
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
119
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
121
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
123
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
125
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
126
 
127
#============================================================
128
# HDMI
129
#============================================================
130
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SCL
131
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SDA
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2S
133
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_LRCLK
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_MCLK
135
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_SCLK
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_CLK
137
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[0]
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[1]
139
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[2]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[3]
141
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[4]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[5]
143
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[6]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[7]
145
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[8]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[9]
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[10]
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[11]
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[12]
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[13]
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[14]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[15]
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[16]
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[17]
155
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[18]
156
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[19]
157
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[20]
158
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[21]
159
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[22]
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[23]
161
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_DE
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_HS
163
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_INT
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_VS
165
 
166
#============================================================
167
# HPS
168
#============================================================
169
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_CONV_USB_N
170
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[0]
171
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[1]
172
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[2]
173
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[3]
174
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[4]
175
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[5]
176
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[6]
177
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[7]
178
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[8]
179
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[9]
180
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[10]
181
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[11]
182
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[12]
183
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[13]
184
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[14]
185
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[0]
186
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[1]
187
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[2]
188
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CAS_N
189
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CKE
190
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_N
191
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_P
192
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CS_N
193
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[0]
194
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[1]
195
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[2]
196
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[3]
197
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[0]
198
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[1]
199
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[2]
200
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[3]
201
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[4]
202
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[5]
203
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[6]
204
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[7]
205
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[8]
206
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[9]
207
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[10]
208
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[11]
209
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[12]
210
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[13]
211
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[14]
212
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[15]
213
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[16]
214
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[17]
215
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[18]
216
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[19]
217
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[20]
218
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[21]
219
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[22]
220
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[23]
221
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[24]
222
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[25]
223
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[26]
224
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[27]
225
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[28]
226
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[29]
227
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[30]
228
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[31]
229
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[0]
230
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[1]
231
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[2]
232
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[3]
233
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[0]
234
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[1]
235
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[2]
236
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[3]
237
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ODT
238
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RAS_N
239
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RESET_N
240
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RZQ
241
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_WE_N
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_GTX_CLK
243
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_INT_N
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDC
245
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDIO
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_CLK
247
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[0]
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[1]
249
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[2]
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[3]
251
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DV
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[0]
253
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[1]
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[2]
255
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[3]
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_EN
257
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_GSENSOR_INT
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SCLK
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SDAT
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SCLK
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SDAT
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_KEY
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LED
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LTC_GPIO
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CLK
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CMD
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[0]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[1]
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[2]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[3]
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_CLK
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MISO
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MOSI
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_SS
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_RX
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_TX
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_CLKOUT
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[0]
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[1]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[2]
281
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[3]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[4]
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[5]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[6]
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[7]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DIR
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_NXT
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_STP
289
 
290
#============================================================
291
# KEY
292
#============================================================
293
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
295
 
296
#============================================================
297
# LED
298
#============================================================
299
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
301
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
303
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
305
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
307
 
308
#============================================================
309
# SW
310
#============================================================
311
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
313
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
315
 
316
#============================================================
317
# End of pin assignments by Terasic System Builder
318
#============================================================
319
 
320
 
321
 
322
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
323
set_location_assignment PIN_U9 -to ADC_CONVST
324
set_location_assignment PIN_V10 -to ADC_SCK
325
set_location_assignment PIN_AC4 -to ADC_SDI
326
set_location_assignment PIN_AD4 -to ADC_SDO
327
set_location_assignment PIN_AG13 -to ARDUINO_IO[0]
328
set_location_assignment PIN_AF13 -to ARDUINO_IO[1]
329
set_location_assignment PIN_AG10 -to ARDUINO_IO[2]
330
set_location_assignment PIN_AG9 -to ARDUINO_IO[3]
331
set_location_assignment PIN_U14 -to ARDUINO_IO[4]
332
set_location_assignment PIN_U13 -to ARDUINO_IO[5]
333
set_location_assignment PIN_AG8 -to ARDUINO_IO[6]
334
set_location_assignment PIN_AH8 -to ARDUINO_IO[7]
335
set_location_assignment PIN_AF17 -to ARDUINO_IO[8]
336
set_location_assignment PIN_AE15 -to ARDUINO_IO[9]
337
set_location_assignment PIN_AF15 -to ARDUINO_IO[10]
338
set_location_assignment PIN_AG16 -to ARDUINO_IO[11]
339
set_location_assignment PIN_AH11 -to ARDUINO_IO[12]
340
set_location_assignment PIN_AH12 -to ARDUINO_IO[13]
341
set_location_assignment PIN_AH9 -to ARDUINO_IO[14]
342
set_location_assignment PIN_AG11 -to ARDUINO_IO[15]
343
set_location_assignment PIN_AH7 -to ARDUINO_RESET_N
344
set_location_assignment PIN_V11 -to FPGA_CLK1_50
345
set_location_assignment PIN_Y13 -to FPGA_CLK2_50
346
set_location_assignment PIN_E11 -to FPGA_CLK3_50
347
set_location_assignment PIN_V12 -to GPIO_0[0]
348
set_location_assignment PIN_E8 -to GPIO_0[1]
349
set_location_assignment PIN_W12 -to GPIO_0[2]
350
set_location_assignment PIN_D11 -to GPIO_0[3]
351
set_location_assignment PIN_D8 -to GPIO_0[4]
352
set_location_assignment PIN_AH13 -to GPIO_0[5]
353
set_location_assignment PIN_AF7 -to GPIO_0[6]
354
set_location_assignment PIN_AH14 -to GPIO_0[7]
355
set_location_assignment PIN_AF4 -to GPIO_0[8]
356
set_location_assignment PIN_AH3 -to GPIO_0[9]
357
set_location_assignment PIN_AD5 -to GPIO_0[10]
358
set_location_assignment PIN_AG14 -to GPIO_0[11]
359
set_location_assignment PIN_AE23 -to GPIO_0[12]
360
set_location_assignment PIN_AE6 -to GPIO_0[13]
361
set_location_assignment PIN_AD23 -to GPIO_0[14]
362
set_location_assignment PIN_AE24 -to GPIO_0[15]
363
set_location_assignment PIN_D12 -to GPIO_0[16]
364
set_location_assignment PIN_AD20 -to GPIO_0[17]
365
set_location_assignment PIN_C12 -to GPIO_0[18]
366
set_location_assignment PIN_AD17 -to GPIO_0[19]
367
set_location_assignment PIN_AC23 -to GPIO_0[20]
368
set_location_assignment PIN_AC22 -to GPIO_0[21]
369
set_location_assignment PIN_Y19 -to GPIO_0[22]
370
set_location_assignment PIN_AB23 -to GPIO_0[23]
371
set_location_assignment PIN_AA19 -to GPIO_0[24]
372
set_location_assignment PIN_W11 -to GPIO_0[25]
373
set_location_assignment PIN_AA18 -to GPIO_0[26]
374
set_location_assignment PIN_W14 -to GPIO_0[27]
375
set_location_assignment PIN_Y18 -to GPIO_0[28]
376
set_location_assignment PIN_Y17 -to GPIO_0[29]
377
set_location_assignment PIN_AB25 -to GPIO_0[30]
378
set_location_assignment PIN_AB26 -to GPIO_0[31]
379
set_location_assignment PIN_Y11 -to GPIO_0[32]
380
set_location_assignment PIN_AA26 -to GPIO_0[33]
381
set_location_assignment PIN_AA13 -to GPIO_0[34]
382
set_location_assignment PIN_AA11 -to GPIO_0[35]
383
set_location_assignment PIN_Y15 -to GPIO_1[0]
384
set_location_assignment PIN_AC24 -to GPIO_1[1]
385
set_location_assignment PIN_AA15 -to GPIO_1[2]
386
set_location_assignment PIN_AD26 -to GPIO_1[3]
387
set_location_assignment PIN_AG28 -to GPIO_1[4]
388
set_location_assignment PIN_AF28 -to GPIO_1[5]
389
set_location_assignment PIN_AE25 -to GPIO_1[6]
390
set_location_assignment PIN_AF27 -to GPIO_1[7]
391
set_location_assignment PIN_AG26 -to GPIO_1[8]
392
set_location_assignment PIN_AH27 -to GPIO_1[9]
393
set_location_assignment PIN_AG25 -to GPIO_1[10]
394
set_location_assignment PIN_AH26 -to GPIO_1[11]
395
set_location_assignment PIN_AH24 -to GPIO_1[12]
396
set_location_assignment PIN_AF25 -to GPIO_1[13]
397
set_location_assignment PIN_AG23 -to GPIO_1[14]
398
set_location_assignment PIN_AF23 -to GPIO_1[15]
399
set_location_assignment PIN_AG24 -to GPIO_1[16]
400
set_location_assignment PIN_AH22 -to GPIO_1[17]
401
set_location_assignment PIN_AH21 -to GPIO_1[18]
402
set_location_assignment PIN_AG21 -to GPIO_1[19]
403
set_location_assignment PIN_AH23 -to GPIO_1[20]
404
set_location_assignment PIN_AA20 -to GPIO_1[21]
405
set_location_assignment PIN_AF22 -to GPIO_1[22]
406
set_location_assignment PIN_AE22 -to GPIO_1[23]
407
set_location_assignment PIN_AG20 -to GPIO_1[24]
408
set_location_assignment PIN_AF21 -to GPIO_1[25]
409
set_location_assignment PIN_AG19 -to GPIO_1[26]
410
set_location_assignment PIN_AH19 -to GPIO_1[27]
411
set_location_assignment PIN_AG18 -to GPIO_1[28]
412
set_location_assignment PIN_AH18 -to GPIO_1[29]
413
set_location_assignment PIN_AF18 -to GPIO_1[30]
414
set_location_assignment PIN_AF20 -to GPIO_1[31]
415
set_location_assignment PIN_AG15 -to GPIO_1[32]
416
set_location_assignment PIN_AE20 -to GPIO_1[33]
417
set_location_assignment PIN_AE19 -to GPIO_1[34]
418
set_location_assignment PIN_AE17 -to GPIO_1[35]
419
set_location_assignment PIN_U10 -to HDMI_I2C_SCL
420
set_location_assignment PIN_AA4 -to HDMI_I2C_SDA
421
set_location_assignment PIN_T13 -to HDMI_I2S
422
set_location_assignment PIN_T11 -to HDMI_LRCLK
423
set_location_assignment PIN_U11 -to HDMI_MCLK
424
set_location_assignment PIN_T12 -to HDMI_SCLK
425
set_location_assignment PIN_AG5 -to HDMI_TX_CLK
426
set_location_assignment PIN_AD12 -to HDMI_TX_D[0]
427
set_location_assignment PIN_AE12 -to HDMI_TX_D[1]
428
set_location_assignment PIN_W8 -to HDMI_TX_D[2]
429
set_location_assignment PIN_Y8 -to HDMI_TX_D[3]
430
set_location_assignment PIN_AD11 -to HDMI_TX_D[4]
431
set_location_assignment PIN_AD10 -to HDMI_TX_D[5]
432
set_location_assignment PIN_AE11 -to HDMI_TX_D[6]
433
set_location_assignment PIN_Y5 -to HDMI_TX_D[7]
434
set_location_assignment PIN_AF10 -to HDMI_TX_D[8]
435
set_location_assignment PIN_Y4 -to HDMI_TX_D[9]
436
set_location_assignment PIN_AE9 -to HDMI_TX_D[10]
437
set_location_assignment PIN_AB4 -to HDMI_TX_D[11]
438
set_location_assignment PIN_AE7 -to HDMI_TX_D[12]
439
set_location_assignment PIN_AF6 -to HDMI_TX_D[13]
440
set_location_assignment PIN_AF8 -to HDMI_TX_D[14]
441
set_location_assignment PIN_AF5 -to HDMI_TX_D[15]
442
set_location_assignment PIN_AE4 -to HDMI_TX_D[16]
443
set_location_assignment PIN_AH2 -to HDMI_TX_D[17]
444
set_location_assignment PIN_AH4 -to HDMI_TX_D[18]
445
set_location_assignment PIN_AH5 -to HDMI_TX_D[19]
446
set_location_assignment PIN_AH6 -to HDMI_TX_D[20]
447
set_location_assignment PIN_AG6 -to HDMI_TX_D[21]
448
set_location_assignment PIN_AF9 -to HDMI_TX_D[22]
449
set_location_assignment PIN_AE8 -to HDMI_TX_D[23]
450
set_location_assignment PIN_AD19 -to HDMI_TX_DE
451
set_location_assignment PIN_T8 -to HDMI_TX_HS
452
set_location_assignment PIN_AF11 -to HDMI_TX_INT
453
set_location_assignment PIN_V13 -to HDMI_TX_VS
454
 
455
set_location_assignment PIN_AH17 -to KEY[0]
456
set_location_assignment PIN_AH16 -to KEY[1]
457
set_location_assignment PIN_W15 -to LED[0]
458
set_location_assignment PIN_AA24 -to LED[1]
459
set_location_assignment PIN_V16 -to LED[2]
460
set_location_assignment PIN_V15 -to LED[3]
461
set_location_assignment PIN_AF26 -to LED[4]
462
set_location_assignment PIN_AE26 -to LED[5]
463
set_location_assignment PIN_Y16 -to LED[6]
464
set_location_assignment PIN_AA23 -to LED[7]
465
set_location_assignment PIN_Y24 -to SW[0]
466
set_location_assignment PIN_W24 -to SW[1]
467
set_location_assignment PIN_W21 -to SW[2]
468
set_location_assignment PIN_W20 -to SW[3]
469
 
470
 
471
 
472
set_global_assignment -name SDC_FILE DE10_Nano_golden_top.sdc
473
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
474
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
475
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
476
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
477
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
478
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
479
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
480
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
481
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.