OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Altera/] [DE2_115/] [De2_115.qsf] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
 
2
set_global_assignment -name FAMILY "Cyclone IV E"
3
set_global_assignment -name DEVICE EP4CE115F29C7
4
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
5
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
6
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
7
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
8
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 780
9
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
10
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
11
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
12
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
13
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
14
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
15
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
16
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
17
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
18
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
19
 
20
set_location_assignment PIN_Y2 -to CLOCK_50
21
set_location_assignment PIN_AG14 -to CLOCK2_50
22
set_location_assignment PIN_AG15 -to CLOCK3_50
23
set_location_assignment PIN_AH14 -to SMA_CLKIN
24
set_location_assignment PIN_AE23 -to SMA_CLKOUT
25
set_location_assignment PIN_E21 -to LEDG[0]
26
set_location_assignment PIN_E22 -to LEDG[1]
27
set_location_assignment PIN_E25 -to LEDG[2]
28
set_location_assignment PIN_E24 -to LEDG[3]
29
set_location_assignment PIN_H21 -to LEDG[4]
30
set_location_assignment PIN_G20 -to LEDG[5]
31
set_location_assignment PIN_G22 -to LEDG[6]
32
set_location_assignment PIN_G21 -to LEDG[7]
33
set_location_assignment PIN_F17 -to LEDG[8]
34
set_location_assignment PIN_G19 -to LEDR[0]
35
set_location_assignment PIN_E19 -to LEDR[2]
36
set_location_assignment PIN_F19 -to LEDR[1]
37
set_location_assignment PIN_F21 -to LEDR[3]
38
set_location_assignment PIN_F18 -to LEDR[4]
39
set_location_assignment PIN_E18 -to LEDR[5]
40
set_location_assignment PIN_J19 -to LEDR[6]
41
set_location_assignment PIN_H19 -to LEDR[7]
42
set_location_assignment PIN_J17 -to LEDR[8]
43
set_location_assignment PIN_G17 -to LEDR[9]
44
set_location_assignment PIN_J15 -to LEDR[10]
45
set_location_assignment PIN_H16 -to LEDR[11]
46
set_location_assignment PIN_J16 -to LEDR[12]
47
set_location_assignment PIN_H17 -to LEDR[13]
48
set_location_assignment PIN_F15 -to LEDR[14]
49
set_location_assignment PIN_G15 -to LEDR[15]
50
set_location_assignment PIN_G16 -to LEDR[16]
51
set_location_assignment PIN_H15 -to LEDR[17]
52
set_location_assignment PIN_M23 -to KEY[0]
53
set_location_assignment PIN_M21 -to KEY[1]
54
set_location_assignment PIN_N21 -to KEY[2]
55
set_location_assignment PIN_R24 -to KEY[3]
56
set_location_assignment PIN_AB28 -to SW[0]
57
set_location_assignment PIN_AC28 -to SW[1]
58
set_location_assignment PIN_AC27 -to SW[2]
59
set_location_assignment PIN_AD27 -to SW[3]
60
set_location_assignment PIN_AB27 -to SW[4]
61
set_location_assignment PIN_AC26 -to SW[5]
62
set_location_assignment PIN_AD26 -to SW[6]
63
set_location_assignment PIN_AB26 -to SW[7]
64
set_location_assignment PIN_AC25 -to SW[8]
65
set_location_assignment PIN_AB25 -to SW[9]
66
set_location_assignment PIN_AC24 -to SW[10]
67
set_location_assignment PIN_AB24 -to SW[11]
68
set_location_assignment PIN_AB23 -to SW[12]
69
set_location_assignment PIN_AA24 -to SW[13]
70
set_location_assignment PIN_AA23 -to SW[14]
71
set_location_assignment PIN_AA22 -to SW[15]
72
set_location_assignment PIN_Y24 -to SW[16]
73
set_location_assignment PIN_Y23 -to SW[17]
74
set_location_assignment PIN_G18 -to HEX0[0]
75
set_location_assignment PIN_F22 -to HEX0[1]
76
set_location_assignment PIN_E17 -to HEX0[2]
77
set_location_assignment PIN_L26 -to HEX0[3]
78
set_location_assignment PIN_L25 -to HEX0[4]
79
set_location_assignment PIN_J22 -to HEX0[5]
80
set_location_assignment PIN_H22 -to HEX0[6]
81
set_location_assignment PIN_M24 -to HEX1[0]
82
set_location_assignment PIN_Y22 -to HEX1[1]
83
set_location_assignment PIN_W21 -to HEX1[2]
84
set_location_assignment PIN_W22 -to HEX1[3]
85
set_location_assignment PIN_W25 -to HEX1[4]
86
set_location_assignment PIN_U23 -to HEX1[5]
87
set_location_assignment PIN_U24 -to HEX1[6]
88
set_location_assignment PIN_AA25 -to HEX2[0]
89
set_location_assignment PIN_AA26 -to HEX2[1]
90
set_location_assignment PIN_Y25 -to HEX2[2]
91
set_location_assignment PIN_W26 -to HEX2[3]
92
set_location_assignment PIN_Y26 -to HEX2[4]
93
set_location_assignment PIN_W27 -to HEX2[5]
94
set_location_assignment PIN_W28 -to HEX2[6]
95
set_location_assignment PIN_V21 -to HEX3[0]
96
set_location_assignment PIN_U21 -to HEX3[1]
97
set_location_assignment PIN_AB20 -to HEX3[2]
98
set_location_assignment PIN_AA21 -to HEX3[3]
99
set_location_assignment PIN_AD24 -to HEX3[4]
100
set_location_assignment PIN_AF23 -to HEX3[5]
101
set_location_assignment PIN_Y19 -to HEX3[6]
102
set_location_assignment PIN_AB19 -to HEX4[0]
103
set_location_assignment PIN_AA19 -to HEX4[1]
104
set_location_assignment PIN_AG21 -to HEX4[2]
105
set_location_assignment PIN_AH21 -to HEX4[3]
106
set_location_assignment PIN_AE19 -to HEX4[4]
107
set_location_assignment PIN_AF19 -to HEX4[5]
108
set_location_assignment PIN_AE18 -to HEX4[6]
109
set_location_assignment PIN_AD18 -to HEX5[0]
110
set_location_assignment PIN_AC18 -to HEX5[1]
111
set_location_assignment PIN_AB18 -to HEX5[2]
112
set_location_assignment PIN_AH19 -to HEX5[3]
113
set_location_assignment PIN_AG19 -to HEX5[4]
114
set_location_assignment PIN_AF18 -to HEX5[5]
115
set_location_assignment PIN_AH18 -to HEX5[6]
116
set_location_assignment PIN_AA17 -to HEX6[0]
117
set_location_assignment PIN_AB16 -to HEX6[1]
118
set_location_assignment PIN_AA16 -to HEX6[2]
119
set_location_assignment PIN_AB17 -to HEX6[3]
120
set_location_assignment PIN_AB15 -to HEX6[4]
121
set_location_assignment PIN_AA15 -to HEX6[5]
122
set_location_assignment PIN_AC17 -to HEX6[6]
123
set_location_assignment PIN_AD17 -to HEX7[0]
124
set_location_assignment PIN_AE17 -to HEX7[1]
125
set_location_assignment PIN_AG17 -to HEX7[2]
126
set_location_assignment PIN_AH17 -to HEX7[3]
127
set_location_assignment PIN_AF17 -to HEX7[4]
128
set_location_assignment PIN_AG18 -to HEX7[5]
129
set_location_assignment PIN_AA14 -to HEX7[6]
130
set_location_assignment PIN_L6 -to LCD_BLON
131
set_location_assignment PIN_M5 -to LCD_DATA[7]
132
set_location_assignment PIN_M3 -to LCD_DATA[6]
133
set_location_assignment PIN_K2 -to LCD_DATA[5]
134
set_location_assignment PIN_K1 -to LCD_DATA[4]
135
set_location_assignment PIN_K7 -to LCD_DATA[3]
136
set_location_assignment PIN_L2 -to LCD_DATA[2]
137
set_location_assignment PIN_L1 -to LCD_DATA[1]
138
set_location_assignment PIN_L3 -to LCD_DATA[0]
139
set_location_assignment PIN_L4 -to LCD_EN
140
set_location_assignment PIN_M1 -to LCD_RW
141
set_location_assignment PIN_M2 -to LCD_RS
142
set_location_assignment PIN_L5 -to LCD_ON
143
set_location_assignment PIN_G9 -to UART_TXD
144
set_location_assignment PIN_G12 -to UART_RXD
145
set_location_assignment PIN_G14 -to UART_CTS
146
set_location_assignment PIN_J13 -to UART_RTS
147
set_location_assignment PIN_G6 -to PS2_KBCLK
148
set_location_assignment PIN_H5 -to PS2_KBDAT
149
set_location_assignment PIN_G5 -to PS2_MSCLK
150
set_location_assignment PIN_F5 -to PS2_MSDAT
151
set_location_assignment PIN_AE14 -to SD_DAT[0]
152
set_location_assignment PIN_AF13 -to SD_DAT[1]
153
set_location_assignment PIN_AB14 -to SD_DAT[2]
154
set_location_assignment PIN_AC14 -to SD_DAT[3]
155
set_location_assignment PIN_AE13 -to SD_CLK
156
set_location_assignment PIN_AD14 -to SD_CMD
157
set_location_assignment PIN_AF14 -to SD_WP_N
158
set_location_assignment PIN_D12 -to VGA_B[7]
159
set_location_assignment PIN_D11 -to VGA_B[6]
160
set_location_assignment PIN_C12 -to VGA_B[5]
161
set_location_assignment PIN_A11 -to VGA_B[4]
162
set_location_assignment PIN_B11 -to VGA_B[3]
163
set_location_assignment PIN_C11 -to VGA_B[2]
164
set_location_assignment PIN_A10 -to VGA_B[1]
165
set_location_assignment PIN_B10 -to VGA_B[0]
166
set_location_assignment PIN_C9 -to VGA_G[7]
167
set_location_assignment PIN_F10 -to VGA_G[6]
168
set_location_assignment PIN_B8 -to VGA_G[5]
169
set_location_assignment PIN_C8 -to VGA_G[4]
170
set_location_assignment PIN_H12 -to VGA_G[3]
171
set_location_assignment PIN_F8 -to VGA_G[2]
172
set_location_assignment PIN_G11 -to VGA_G[1]
173
set_location_assignment PIN_G8 -to VGA_G[0]
174
set_location_assignment PIN_H10 -to VGA_R[7]
175
set_location_assignment PIN_H8 -to VGA_R[6]
176
set_location_assignment PIN_J12 -to VGA_R[5]
177
set_location_assignment PIN_G10 -to VGA_R[4]
178
set_location_assignment PIN_F12 -to VGA_R[3]
179
set_location_assignment PIN_D10 -to VGA_R[2]
180
set_location_assignment PIN_E11 -to VGA_R[1]
181
set_location_assignment PIN_E12 -to VGA_R[0]
182
set_location_assignment PIN_A12 -to VGA_CLK
183
set_location_assignment PIN_F11 -to VGA_BLANK_N
184
set_location_assignment PIN_C10 -to VGA_SYNC_N
185
set_location_assignment PIN_G13 -to VGA_HS
186
set_location_assignment PIN_C13 -to VGA_VS
187
set_location_assignment PIN_D1 -to AUD_DACDAT
188
set_location_assignment PIN_E3 -to AUD_DACLRCK
189
set_location_assignment PIN_D2 -to AUD_ADCDAT
190
set_location_assignment PIN_C2 -to AUD_ADCLRCK
191
set_location_assignment PIN_E1 -to AUD_XCK
192
set_location_assignment PIN_F2 -to AUD_BCLK
193
set_location_assignment PIN_D14 -to EEP_I2C_SCLK
194
set_location_assignment PIN_E14 -to EEP_I2C_SDAT
195
set_location_assignment PIN_B7 -to I2C_SCLK
196
set_location_assignment PIN_A8 -to I2C_SDAT
197
set_location_assignment PIN_A17 -to ENET0_GTX_CLK
198
set_location_assignment PIN_A21 -to ENET0_INT_N
199
set_location_assignment PIN_C20 -to ENET0_MDC
200
set_location_assignment PIN_B21 -to ENET0_MDIO
201
set_location_assignment PIN_C19 -to ENET0_RESET_N
202
set_location_assignment PIN_A15 -to ENET0_RX_CLK
203
set_location_assignment PIN_E15 -to ENET0_RX_COL
204
set_location_assignment PIN_D15 -to ENET0_RX_CRS
205
set_location_assignment PIN_C16 -to ENET0_RX_DATA[0]
206
set_location_assignment PIN_D16 -to ENET0_RX_DATA[1]
207
set_location_assignment PIN_D17 -to ENET0_RX_DATA[2]
208
set_location_assignment PIN_C15 -to ENET0_RX_DATA[3]
209
set_location_assignment PIN_C17 -to ENET0_RX_DV
210
set_location_assignment PIN_D18 -to ENET0_RX_ER
211
set_location_assignment PIN_B17 -to ENET0_TX_CLK
212
set_location_assignment PIN_C18 -to ENET0_TX_DATA[0]
213
set_location_assignment PIN_D19 -to ENET0_TX_DATA[1]
214
set_location_assignment PIN_A19 -to ENET0_TX_DATA[2]
215
set_location_assignment PIN_B19 -to ENET0_TX_DATA[3]
216
set_location_assignment PIN_A18 -to ENET0_TX_EN
217
set_location_assignment PIN_B18 -to ENET0_TX_ER
218
set_location_assignment PIN_C23 -to ENET1_GTX_CLK
219
set_location_assignment PIN_D24 -to ENET1_INT_N
220
set_location_assignment PIN_D23 -to ENET1_MDC
221
set_location_assignment PIN_D25 -to ENET1_MDIO
222
set_location_assignment PIN_D22 -to ENET1_RESET_N
223
set_location_assignment PIN_B15 -to ENET1_RX_CLK
224
set_location_assignment PIN_B22 -to ENET1_RX_COL
225
set_location_assignment PIN_D20 -to ENET1_RX_CRS
226
set_location_assignment PIN_B23 -to ENET1_RX_DATA[0]
227
set_location_assignment PIN_C21 -to ENET1_RX_DATA[1]
228
set_location_assignment PIN_A23 -to ENET1_RX_DATA[2]
229
set_location_assignment PIN_D21 -to ENET1_RX_DATA[3]
230
set_location_assignment PIN_A22 -to ENET1_RX_DV
231
set_location_assignment PIN_C24 -to ENET1_RX_ER
232
set_location_assignment PIN_C22 -to ENET1_TX_CLK
233
set_location_assignment PIN_C25 -to ENET1_TX_DATA[0]
234
set_location_assignment PIN_A26 -to ENET1_TX_DATA[1]
235
set_location_assignment PIN_B26 -to ENET1_TX_DATA[2]
236
set_location_assignment PIN_C26 -to ENET1_TX_DATA[3]
237
set_location_assignment PIN_B25 -to ENET1_TX_EN
238
set_location_assignment PIN_A25 -to ENET1_TX_ER
239
set_location_assignment PIN_C14 -to ENET0_LINK100
240
set_location_assignment PIN_D13 -to ENET1_LINK100
241
set_location_assignment PIN_A14 -to ENETCLK_25
242
set_location_assignment PIN_F7 -to TD_DATA[7]
243
set_location_assignment PIN_E7 -to TD_DATA[6]
244
set_location_assignment PIN_D6 -to TD_DATA[5]
245
set_location_assignment PIN_D7 -to TD_DATA[4]
246
set_location_assignment PIN_C7 -to TD_DATA[3]
247
set_location_assignment PIN_D8 -to TD_DATA[2]
248
set_location_assignment PIN_A7 -to TD_DATA[1]
249
set_location_assignment PIN_E8 -to TD_DATA[0]
250
set_location_assignment PIN_B14 -to TD_CLK27
251
set_location_assignment PIN_G7 -to TD_RESET_N
252
set_location_assignment PIN_E4 -to TD_VS
253
set_location_assignment PIN_E5 -to TD_HS
254
set_location_assignment PIN_D4 -to OTG_DACK_N[1]
255
set_location_assignment PIN_C4 -to OTG_DACK_N[0]
256
set_location_assignment PIN_A3 -to OTG_CS_N
257
set_location_assignment PIN_B3 -to OTG_OE_N
258
set_location_assignment PIN_B4 -to OTG_DREQ[1]
259
set_location_assignment PIN_J1 -to OTG_DREQ[0]
260
set_location_assignment PIN_A4 -to OTG_WE_N
261
set_location_assignment PIN_H7 -to OTG_ADDR[0]
262
set_location_assignment PIN_C3 -to OTG_ADDR[1]
263
set_location_assignment PIN_C6 -to OTG_FSPEED
264
set_location_assignment PIN_B6 -to OTG_LSPEED
265
set_location_assignment PIN_D5 -to OTG_INT[1]
266
set_location_assignment PIN_A6 -to OTG_INT[0]
267
set_location_assignment PIN_C5 -to OTG_RST_N
268
set_location_assignment PIN_J6 -to OTG_DATA[0]
269
set_location_assignment PIN_K4 -to OTG_DATA[1]
270
set_location_assignment PIN_J5 -to OTG_DATA[2]
271
set_location_assignment PIN_K3 -to OTG_DATA[3]
272
set_location_assignment PIN_J4 -to OTG_DATA[4]
273
set_location_assignment PIN_J3 -to OTG_DATA[5]
274
set_location_assignment PIN_J7 -to OTG_DATA[6]
275
set_location_assignment PIN_H6 -to OTG_DATA[7]
276
set_location_assignment PIN_H3 -to OTG_DATA[8]
277
set_location_assignment PIN_H4 -to OTG_DATA[9]
278
set_location_assignment PIN_G1 -to OTG_DATA[10]
279
set_location_assignment PIN_G2 -to OTG_DATA[11]
280
set_location_assignment PIN_G3 -to OTG_DATA[12]
281
set_location_assignment PIN_F1 -to OTG_DATA[13]
282
set_location_assignment PIN_F3 -to OTG_DATA[14]
283
set_location_assignment PIN_G4 -to OTG_DATA[15]
284
set_location_assignment PIN_Y15 -to IRDA_RXD
285
set_location_assignment PIN_AE5 -to DRAM_CLK
286
set_location_assignment PIN_U1 -to DRAM_DQ[31]
287
set_location_assignment PIN_U4 -to DRAM_DQ[30]
288
set_location_assignment PIN_T3 -to DRAM_DQ[29]
289
set_location_assignment PIN_R3 -to DRAM_DQ[28]
290
set_location_assignment PIN_R2 -to DRAM_DQ[27]
291
set_location_assignment PIN_R1 -to DRAM_DQ[26]
292
set_location_assignment PIN_R7 -to DRAM_DQ[25]
293
set_location_assignment PIN_U5 -to DRAM_DQ[24]
294
set_location_assignment PIN_M8 -to DRAM_DQ[16]
295
set_location_assignment PIN_L8 -to DRAM_DQ[17]
296
set_location_assignment PIN_P2 -to DRAM_DQ[18]
297
set_location_assignment PIN_N3 -to DRAM_DQ[19]
298
set_location_assignment PIN_N4 -to DRAM_DQ[20]
299
set_location_assignment PIN_M4 -to DRAM_DQ[21]
300
set_location_assignment PIN_M7 -to DRAM_DQ[22]
301
set_location_assignment PIN_L7 -to DRAM_DQ[23]
302
set_location_assignment PIN_Y3 -to DRAM_DQ[8]
303
set_location_assignment PIN_Y4 -to DRAM_DQ[9]
304
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
305
set_location_assignment PIN_AA3 -to DRAM_DQ[11]
306
set_location_assignment PIN_AB2 -to DRAM_DQ[12]
307
set_location_assignment PIN_AC1 -to DRAM_DQ[13]
308
set_location_assignment PIN_AB3 -to DRAM_DQ[14]
309
set_location_assignment PIN_AC2 -to DRAM_DQ[15]
310
set_location_assignment PIN_W3 -to DRAM_DQ[0]
311
set_location_assignment PIN_W2 -to DRAM_DQ[1]
312
set_location_assignment PIN_V4 -to DRAM_DQ[2]
313
set_location_assignment PIN_W1 -to DRAM_DQ[3]
314
set_location_assignment PIN_V3 -to DRAM_DQ[4]
315
set_location_assignment PIN_V2 -to DRAM_DQ[5]
316
set_location_assignment PIN_V1 -to DRAM_DQ[6]
317
set_location_assignment PIN_U3 -to DRAM_DQ[7]
318
set_location_assignment PIN_W4 -to DRAM_DQM[1]
319
set_location_assignment PIN_K8 -to DRAM_DQM[2]
320
set_location_assignment PIN_U2 -to DRAM_DQM[0]
321
set_location_assignment PIN_N8 -to DRAM_DQM[3]
322
set_location_assignment PIN_U6 -to DRAM_RAS_N
323
set_location_assignment PIN_V7 -to DRAM_CAS_N
324
set_location_assignment PIN_AA6 -to DRAM_CKE
325
set_location_assignment PIN_V6 -to DRAM_WE_N
326
set_location_assignment PIN_T4 -to DRAM_CS_N
327
set_location_assignment PIN_U7 -to DRAM_BA[0]
328
set_location_assignment PIN_R4 -to DRAM_BA[1]
329
set_location_assignment PIN_Y7 -to DRAM_ADDR[12]
330
set_location_assignment PIN_AA5 -to DRAM_ADDR[11]
331
set_location_assignment PIN_R5 -to DRAM_ADDR[10]
332
set_location_assignment PIN_Y6 -to DRAM_ADDR[9]
333
set_location_assignment PIN_Y5 -to DRAM_ADDR[8]
334
set_location_assignment PIN_AA7 -to DRAM_ADDR[7]
335
set_location_assignment PIN_W7 -to DRAM_ADDR[6]
336
set_location_assignment PIN_W8 -to DRAM_ADDR[5]
337
set_location_assignment PIN_V5 -to DRAM_ADDR[4]
338
set_location_assignment PIN_P1 -to DRAM_ADDR[3]
339
set_location_assignment PIN_U8 -to DRAM_ADDR[2]
340
set_location_assignment PIN_V8 -to DRAM_ADDR[1]
341
set_location_assignment PIN_R6 -to DRAM_ADDR[0]
342
set_location_assignment PIN_AG3 -to SRAM_DQ[15]
343
set_location_assignment PIN_AF3 -to SRAM_DQ[14]
344
set_location_assignment PIN_AE4 -to SRAM_DQ[13]
345
set_location_assignment PIN_AE3 -to SRAM_DQ[12]
346
set_location_assignment PIN_AE1 -to SRAM_DQ[11]
347
set_location_assignment PIN_AE2 -to SRAM_DQ[10]
348
set_location_assignment PIN_AD2 -to SRAM_DQ[9]
349
set_location_assignment PIN_AD1 -to SRAM_DQ[8]
350
set_location_assignment PIN_AF7 -to SRAM_DQ[7]
351
set_location_assignment PIN_AH6 -to SRAM_DQ[6]
352
set_location_assignment PIN_AG6 -to SRAM_DQ[5]
353
set_location_assignment PIN_AF6 -to SRAM_DQ[4]
354
set_location_assignment PIN_AH4 -to SRAM_DQ[3]
355
set_location_assignment PIN_AG4 -to SRAM_DQ[2]
356
set_location_assignment PIN_AF4 -to SRAM_DQ[1]
357
set_location_assignment PIN_AH3 -to SRAM_DQ[0]
358
set_location_assignment PIN_AC4 -to SRAM_UB_N
359
set_location_assignment PIN_AD4 -to SRAM_LB_N
360
set_location_assignment PIN_AF8 -to SRAM_CE_N
361
set_location_assignment PIN_AD5 -to SRAM_OE_N
362
set_location_assignment PIN_AE8 -to SRAM_WE_N
363
set_location_assignment PIN_AE6 -to SRAM_ADDR[5]
364
set_location_assignment PIN_AB5 -to SRAM_ADDR[6]
365
set_location_assignment PIN_AC5 -to SRAM_ADDR[7]
366
set_location_assignment PIN_AF5 -to SRAM_ADDR[8]
367
set_location_assignment PIN_T7 -to SRAM_ADDR[9]
368
set_location_assignment PIN_AF2 -to SRAM_ADDR[10]
369
set_location_assignment PIN_AD3 -to SRAM_ADDR[11]
370
set_location_assignment PIN_AB4 -to SRAM_ADDR[12]
371
set_location_assignment PIN_AC3 -to SRAM_ADDR[13]
372
set_location_assignment PIN_AA4 -to SRAM_ADDR[14]
373
set_location_assignment PIN_AB7 -to SRAM_ADDR[0]
374
set_location_assignment PIN_AD7 -to SRAM_ADDR[1]
375
set_location_assignment PIN_AE7 -to SRAM_ADDR[2]
376
set_location_assignment PIN_AC7 -to SRAM_ADDR[3]
377
set_location_assignment PIN_AB6 -to SRAM_ADDR[4]
378
set_location_assignment PIN_T8 -to SRAM_ADDR[19]
379
set_location_assignment PIN_AB8 -to SRAM_ADDR[18]
380
set_location_assignment PIN_AB9 -to SRAM_ADDR[17]
381
set_location_assignment PIN_AC11 -to SRAM_ADDR[16]
382
set_location_assignment PIN_AB11 -to SRAM_ADDR[15]
383
set_location_assignment PIN_AF12 -to FL_DQ[7]
384
set_location_assignment PIN_AH11 -to FL_DQ[6]
385
set_location_assignment PIN_AG11 -to FL_DQ[5]
386
set_location_assignment PIN_AF11 -to FL_DQ[4]
387
set_location_assignment PIN_AH10 -to FL_DQ[3]
388
set_location_assignment PIN_AG10 -to FL_DQ[2]
389
set_location_assignment PIN_AF10 -to FL_DQ[1]
390
set_location_assignment PIN_AH8 -to FL_DQ[0]
391
set_location_assignment PIN_AG12 -to FL_ADDR[0]
392
set_location_assignment PIN_AD11 -to FL_ADDR[22]
393
set_location_assignment PIN_AD10 -to FL_ADDR[21]
394
set_location_assignment PIN_AE10 -to FL_ADDR[20]
395
set_location_assignment PIN_AD12 -to FL_ADDR[19]
396
set_location_assignment PIN_AC12 -to FL_ADDR[18]
397
set_location_assignment PIN_AH12 -to FL_ADDR[17]
398
set_location_assignment PIN_AA8 -to FL_ADDR[16]
399
set_location_assignment PIN_Y10 -to FL_ADDR[15]
400
set_location_assignment PIN_AC8 -to FL_ADDR[14]
401
set_location_assignment PIN_AD8 -to FL_ADDR[13]
402
set_location_assignment PIN_AA10 -to FL_ADDR[12]
403
set_location_assignment PIN_AF9 -to FL_ADDR[11]
404
set_location_assignment PIN_AE9 -to FL_ADDR[10]
405
set_location_assignment PIN_AB10 -to FL_ADDR[9]
406
set_location_assignment PIN_AB12 -to FL_ADDR[8]
407
set_location_assignment PIN_AB13 -to FL_ADDR[7]
408
set_location_assignment PIN_AA12 -to FL_ADDR[6]
409
set_location_assignment PIN_AA13 -to FL_ADDR[5]
410
set_location_assignment PIN_Y12 -to FL_ADDR[4]
411
set_location_assignment PIN_Y14 -to FL_ADDR[3]
412
set_location_assignment PIN_Y13 -to FL_ADDR[2]
413
set_location_assignment PIN_AH7 -to FL_ADDR[1]
414
set_location_assignment PIN_AG7 -to FL_CE_N
415
set_location_assignment PIN_AG8 -to FL_OE_N
416
set_location_assignment PIN_AC10 -to FL_WE_N
417
set_location_assignment PIN_AE11 -to FL_RESET_N
418
set_location_assignment PIN_AE12 -to FL_WP_N
419
set_location_assignment PIN_Y1 -to FL_RY
420
set_location_assignment PIN_AB22 -to GPIO[0]
421
set_location_assignment PIN_AC15 -to GPIO[1]
422
set_location_assignment PIN_AB21 -to GPIO[2]
423
set_location_assignment PIN_Y17 -to GPIO[3]
424
set_location_assignment PIN_AC21 -to GPIO[4]
425
set_location_assignment PIN_Y16 -to GPIO[5]
426
set_location_assignment PIN_AD21 -to GPIO[6]
427
set_location_assignment PIN_AE16 -to GPIO[7]
428
set_location_assignment PIN_AD15 -to GPIO[8]
429
set_location_assignment PIN_AE15 -to GPIO[9]
430
set_location_assignment PIN_AC19 -to GPIO[10]
431
set_location_assignment PIN_AF16 -to GPIO[11]
432
set_location_assignment PIN_AD19 -to GPIO[12]
433
set_location_assignment PIN_AF15 -to GPIO[13]
434
set_location_assignment PIN_AF24 -to GPIO[14]
435
set_location_assignment PIN_AE21 -to GPIO[15]
436
set_location_assignment PIN_AF25 -to GPIO[16]
437
set_location_assignment PIN_AC22 -to GPIO[17]
438
set_location_assignment PIN_AE22 -to GPIO[18]
439
set_location_assignment PIN_AF21 -to GPIO[19]
440
set_location_assignment PIN_AF22 -to GPIO[20]
441
set_location_assignment PIN_AD22 -to GPIO[21]
442
set_location_assignment PIN_AG25 -to GPIO[22]
443
set_location_assignment PIN_AD25 -to GPIO[23]
444
set_location_assignment PIN_AH25 -to GPIO[24]
445
set_location_assignment PIN_AE25 -to GPIO[25]
446
set_location_assignment PIN_AG22 -to GPIO[26]
447
set_location_assignment PIN_AE24 -to GPIO[27]
448
set_location_assignment PIN_AH22 -to GPIO[28]
449
set_location_assignment PIN_AF26 -to GPIO[29]
450
set_location_assignment PIN_AE20 -to GPIO[30]
451
set_location_assignment PIN_AG23 -to GPIO[31]
452
set_location_assignment PIN_AF20 -to GPIO[32]
453
set_location_assignment PIN_AH26 -to GPIO[33]
454
set_location_assignment PIN_AH23 -to GPIO[34]
455
set_location_assignment PIN_AG26 -to GPIO[35]
456
set_location_assignment PIN_J27 -to HSMC_CLKIN_P1
457
set_location_assignment PIN_J28 -to HSMC_CLKIN_N1
458
set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2
459
set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2
460
set_location_assignment PIN_D27 -to HSMC_TX_D_P[0]
461
set_location_assignment PIN_D28 -to HSMC_TX_D_N[0]
462
set_location_assignment PIN_F24 -to HSMC_RX_D_P[0]
463
set_location_assignment PIN_F25 -to HSMC_RX_D_N[0]
464
set_location_assignment PIN_E27 -to HSMC_TX_D_P[1]
465
set_location_assignment PIN_C27 -to HSMC_RX_D_N[1]
466
set_location_assignment PIN_E28 -to HSMC_TX_D_N[1]
467
set_location_assignment PIN_D26 -to HSMC_RX_D_P[1]
468
set_location_assignment PIN_F27 -to HSMC_TX_D_P[2]
469
set_location_assignment PIN_F28 -to HSMC_TX_D_N[2]
470
set_location_assignment PIN_F26 -to HSMC_RX_D_P[2]
471
set_location_assignment PIN_E26 -to HSMC_RX_D_N[2]
472
set_location_assignment PIN_G27 -to HSMC_TX_D_P[3]
473
set_location_assignment PIN_G28 -to HSMC_TX_D_N[3]
474
set_location_assignment PIN_G25 -to HSMC_RX_D_P[3]
475
set_location_assignment PIN_G26 -to HSMC_RX_D_N[3]
476
set_location_assignment PIN_K27 -to HSMC_TX_D_P[4]
477
set_location_assignment PIN_K28 -to HSMC_TX_D_N[4]
478
set_location_assignment PIN_H25 -to HSMC_RX_D_P[4]
479
set_location_assignment PIN_H26 -to HSMC_RX_D_N[4]
480
set_location_assignment PIN_M27 -to HSMC_TX_D_P[5]
481
set_location_assignment PIN_M28 -to HSMC_TX_D_N[5]
482
set_location_assignment PIN_K25 -to HSMC_RX_D_P[5]
483
set_location_assignment PIN_K26 -to HSMC_RX_D_N[5]
484
set_location_assignment PIN_K21 -to HSMC_TX_D_P[6]
485
set_location_assignment PIN_K22 -to HSMC_TX_D_N[6]
486
set_location_assignment PIN_L23 -to HSMC_RX_D_P[6]
487
set_location_assignment PIN_L24 -to HSMC_RX_D_N[6]
488
set_location_assignment PIN_H23 -to HSMC_TX_D_P[7]
489
set_location_assignment PIN_H24 -to HSMC_TX_D_N[7]
490
set_location_assignment PIN_M25 -to HSMC_RX_D_P[7]
491
set_location_assignment PIN_M26 -to HSMC_RX_D_N[7]
492
set_location_assignment PIN_J23 -to HSMC_TX_D_P[8]
493
set_location_assignment PIN_J24 -to HSMC_TX_D_N[8]
494
set_location_assignment PIN_R25 -to HSMC_RX_D_P[8]
495
set_location_assignment PIN_R26 -to HSMC_RX_D_N[8]
496
set_location_assignment PIN_P27 -to HSMC_TX_D_P[9]
497
set_location_assignment PIN_P28 -to HSMC_TX_D_N[9]
498
set_location_assignment PIN_T25 -to HSMC_RX_D_P[9]
499
set_location_assignment PIN_T26 -to HSMC_RX_D_N[9]
500
set_location_assignment PIN_J25 -to HSMC_TX_D_P[10]
501
set_location_assignment PIN_J26 -to HSMC_TX_D_N[10]
502
set_location_assignment PIN_U25 -to HSMC_RX_D_P[10]
503
set_location_assignment PIN_U26 -to HSMC_RX_D_N[10]
504
set_location_assignment PIN_L27 -to HSMC_TX_D_P[11]
505
set_location_assignment PIN_L28 -to HSMC_TX_D_N[11]
506
set_location_assignment PIN_L21 -to HSMC_RX_D_P[11]
507
set_location_assignment PIN_L22 -to HSMC_RX_D_N[11]
508
set_location_assignment PIN_V25 -to HSMC_TX_D_P[12]
509
set_location_assignment PIN_V26 -to HSMC_TX_D_N[12]
510
set_location_assignment PIN_N25 -to HSMC_RX_D_P[12]
511
set_location_assignment PIN_N26 -to HSMC_RX_D_N[12]
512
set_location_assignment PIN_R27 -to HSMC_TX_D_P[13]
513
set_location_assignment PIN_R28 -to HSMC_TX_D_N[13]
514
set_location_assignment PIN_P25 -to HSMC_RX_D_P[13]
515
set_location_assignment PIN_P26 -to HSMC_RX_D_N[13]
516
set_location_assignment PIN_U27 -to HSMC_TX_D_P[14]
517
set_location_assignment PIN_U28 -to HSMC_TX_D_N[14]
518
set_location_assignment PIN_P21 -to HSMC_RX_D_P[14]
519
set_location_assignment PIN_R21 -to HSMC_RX_D_N[14]
520
set_location_assignment PIN_V27 -to HSMC_TX_D_P[15]
521
set_location_assignment PIN_V28 -to HSMC_TX_D_N[15]
522
set_location_assignment PIN_R22 -to HSMC_RX_D_P[15]
523
set_location_assignment PIN_R23 -to HSMC_RX_D_N[15]
524
set_location_assignment PIN_U22 -to HSMC_TX_D_P[16]
525
set_location_assignment PIN_V22 -to HSMC_TX_D_N[16]
526
set_location_assignment PIN_T21 -to HSMC_RX_D_P[16]
527
set_location_assignment PIN_T22 -to HSMC_RX_D_N[16]
528
set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2
529
set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2
530
set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1
531
set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1
532
set_location_assignment PIN_AD28 -to HSMC_CLKOUT0
533
set_location_assignment PIN_AE26 -to HSMC_D[0]
534
set_location_assignment PIN_AE28 -to HSMC_D[1]
535
set_location_assignment PIN_AE27 -to HSMC_D[2]
536
set_location_assignment PIN_AF27 -to HSMC_D[3]
537
set_location_assignment PIN_AH15 -to HSMC_CLKIN0
538
set_location_assignment PIN_J10 -to EXT_IO[0]
539
set_location_assignment PIN_J14 -to EXT_IO[1]
540
set_location_assignment PIN_H13 -to EXT_IO[2]
541
set_location_assignment PIN_H14 -to EXT_IO[3]
542
set_location_assignment PIN_F14 -to EXT_IO[4]
543
set_location_assignment PIN_E10 -to EXT_IO[5]
544
set_location_assignment PIN_D9 -to EXT_IO[6]
545
 
546
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.