OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Altera/] [DE5/] [DE5.qsf] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
#============================================================
2
# Build by Terasic System Builder
3
#============================================================
4
 
5
set_global_assignment -name FAMILY "Stratix V"
6
set_global_assignment -name DEVICE 5SGXEA7N2F45C2
7
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.0"
8
set_global_assignment -name LAST_QUARTUS_VERSION "12.0"
9
set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:38:23 JULY 08,2019"
10
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
11
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1932
12
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 2_H2
13
set_global_assignment -name SDC_FILE Top.SDC
14
 
15
#============================================================
16
# CLOCK
17
#============================================================
18
set_location_assignment PIN_AW35 -to OSC_50_B3B
19
set_instance_assignment -name IO_STANDARD "2.5 V" -to OSC_50_B3B
20
set_location_assignment PIN_BC28 -to OSC_50_B3D
21
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B3D
22
set_location_assignment PIN_AP10 -to OSC_50_B4A
23
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B4A
24
set_location_assignment PIN_AY18 -to OSC_50_B4D
25
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B4D
26
set_location_assignment PIN_M8 -to OSC_50_B7A
27
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B7A
28
set_location_assignment PIN_J18 -to OSC_50_B7D
29
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B7D
30
set_location_assignment PIN_R36 -to OSC_50_B8A
31
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B8A
32
set_location_assignment PIN_R25 -to OSC_50_B8D
33
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B8D
34
 
35
#============================================================
36
# LED x 10
37
#============================================================
38
set_location_assignment PIN_AW37 -to LED[0]
39
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[0]
40
set_location_assignment PIN_AV37 -to LED[1]
41
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[1]
42
set_location_assignment PIN_BB36 -to LED[2]
43
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[2]
44
set_location_assignment PIN_BB39 -to LED[3]
45
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[3]
46
set_location_assignment PIN_AH15 -to LED_BRACKET[0]
47
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[0]
48
set_location_assignment PIN_AH13 -to LED_BRACKET[1]
49
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[1]
50
set_location_assignment PIN_AJ13 -to LED_BRACKET[2]
51
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[2]
52
set_location_assignment PIN_AJ14 -to LED_BRACKET[3]
53
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[3]
54
set_location_assignment PIN_AG15 -to LED_RJ45_L
55
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_RJ45_L
56
set_location_assignment PIN_AG16 -to LED_RJ45_R
57
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_RJ45_R
58
 
59
#============================================================
60
# BUTTON x 4 and CPU_RESET_n
61
#============================================================
62
set_location_assignment PIN_AK15 -to BUTTON[0]
63
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[0]
64
set_location_assignment PIN_AK14 -to BUTTON[1]
65
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[1]
66
set_location_assignment PIN_AL14 -to BUTTON[2]
67
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[2]
68
set_location_assignment PIN_AL15 -to BUTTON[3]
69
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[3]
70
set_location_assignment PIN_BC37 -to CPU_RESET_n
71
set_instance_assignment -name IO_STANDARD "2.5 V" -to CPU_RESET_n
72
 
73
#============================================================
74
# SWITCH x 4
75
#============================================================
76
set_location_assignment PIN_B25 -to SW[0]
77
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[0]
78
set_location_assignment PIN_A25 -to SW[1]
79
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[1]
80
set_location_assignment PIN_B23 -to SW[2]
81
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[2]
82
set_location_assignment PIN_A23 -to SW[3]
83
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[3]
84
 
85
#============================================================
86
# 7-Segement
87
#============================================================
88
set_location_assignment PIN_G8 -to HEX0_D[0]
89
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[0]
90
set_location_assignment PIN_H8 -to HEX0_D[1]
91
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[1]
92
set_location_assignment PIN_J9 -to HEX0_D[2]
93
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[2]
94
set_location_assignment PIN_K10 -to HEX0_D[3]
95
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[3]
96
set_location_assignment PIN_K8 -to HEX0_D[4]
97
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[4]
98
set_location_assignment PIN_K9 -to HEX0_D[5]
99
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[5]
100
set_location_assignment PIN_N8 -to HEX0_D[6]
101
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[6]
102
set_location_assignment PIN_P8 -to HEX0_DP
103
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_DP
104
set_location_assignment PIN_H18 -to HEX1_D[0]
105
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[0]
106
set_location_assignment PIN_G16 -to HEX1_D[1]
107
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[1]
108
set_location_assignment PIN_F16 -to HEX1_D[2]
109
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[2]
110
set_location_assignment PIN_A7 -to HEX1_D[3]
111
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[3]
112
set_location_assignment PIN_B7 -to HEX1_D[4]
113
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[4]
114
set_location_assignment PIN_C9 -to HEX1_D[5]
115
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[5]
116
set_location_assignment PIN_D10 -to HEX1_D[6]
117
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[6]
118
set_location_assignment PIN_E9 -to HEX1_DP
119
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_DP
120
 
121
#============================================================
122
# Temperature
123
#============================================================
124
set_location_assignment PIN_D21 -to TEMP_CLK
125
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_CLK
126
set_location_assignment PIN_D20 -to TEMP_DATA
127
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_DATA
128
set_location_assignment PIN_C21 -to TEMP_INT_n
129
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_INT_n
130
set_location_assignment PIN_C22 -to TEMP_OVERT_n
131
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_OVERT_n
132
 
133
#============================================================
134
# Fan
135
#============================================================
136
set_location_assignment PIN_AR32 -to FAN_CTRL
137
set_instance_assignment -name IO_STANDARD "2.5 V" -to FAN_CTRL
138
 
139
#============================================================
140
# RS232
141
#============================================================
142
set_location_assignment PIN_AG14 -to RS422_DE
143
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DE
144
set_location_assignment PIN_AE18 -to RS422_DIN
145
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DIN
146
set_location_assignment PIN_AE17 -to RS422_DOUT
147
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DOUT
148
set_location_assignment PIN_AF17 -to RS422_RE_n
149
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_RE_n
150
set_location_assignment PIN_AF16 -to RS422_TE
151
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_TE
152
 
153
#============================================================
154
# Flash/MAX Address/Data Share Bus
155
#============================================================
156
set_location_assignment PIN_AG26 -to FSM_D[0]
157
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[0]
158
set_location_assignment PIN_AD33 -to FSM_D[1]
159
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[1]
160
set_location_assignment PIN_AE34 -to FSM_D[2]
161
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[2]
162
set_location_assignment PIN_AF31 -to FSM_D[3]
163
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[3]
164
set_location_assignment PIN_AG28 -to FSM_D[4]
165
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[4]
166
set_location_assignment PIN_AG30 -to FSM_D[5]
167
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[5]
168
set_location_assignment PIN_AF29 -to FSM_D[6]
169
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[6]
170
set_location_assignment PIN_AE29 -to FSM_D[7]
171
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[7]
172
set_location_assignment PIN_AG25 -to FSM_D[8]
173
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[8]
174
set_location_assignment PIN_AF34 -to FSM_D[9]
175
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[9]
176
set_location_assignment PIN_AE33 -to FSM_D[10]
177
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[10]
178
set_location_assignment PIN_AE31 -to FSM_D[11]
179
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[11]
180
set_location_assignment PIN_AF28 -to FSM_D[12]
181
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[12]
182
set_location_assignment PIN_AE30 -to FSM_D[13]
183
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[13]
184
set_location_assignment PIN_AG29 -to FSM_D[14]
185
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[14]
186
set_location_assignment PIN_AG27 -to FSM_D[15]
187
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[15]
188
set_location_assignment PIN_AP28 -to FSM_D[16]
189
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[16]
190
set_location_assignment PIN_AN28 -to FSM_D[17]
191
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[17]
192
set_location_assignment PIN_AU31 -to FSM_D[18]
193
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[18]
194
set_location_assignment PIN_AW32 -to FSM_D[19]
195
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[19]
196
set_location_assignment PIN_BD32 -to FSM_D[20]
197
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[20]
198
set_location_assignment PIN_AY31 -to FSM_D[21]
199
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[21]
200
set_location_assignment PIN_BA30 -to FSM_D[22]
201
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[22]
202
set_location_assignment PIN_BB30 -to FSM_D[23]
203
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[23]
204
set_location_assignment PIN_AM29 -to FSM_D[24]
205
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[24]
206
set_location_assignment PIN_AR29 -to FSM_D[25]
207
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[25]
208
set_location_assignment PIN_AV31 -to FSM_D[26]
209
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[26]
210
set_location_assignment PIN_AV32 -to FSM_D[27]
211
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[27]
212
set_location_assignment PIN_BC31 -to FSM_D[28]
213
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[28]
214
set_location_assignment PIN_AW30 -to FSM_D[29]
215
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[29]
216
set_location_assignment PIN_BC32 -to FSM_D[30]
217
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[30]
218
set_location_assignment PIN_BD31 -to FSM_D[31]
219
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[31]
220
set_location_assignment PIN_AU32 -to FSM_A[0]
221
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[0]
222
set_location_assignment PIN_AH30 -to FSM_A[1]
223
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[1]
224
set_location_assignment PIN_AJ30 -to FSM_A[2]
225
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[2]
226
set_location_assignment PIN_AH31 -to FSM_A[3]
227
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[3]
228
set_location_assignment PIN_AK30 -to FSM_A[4]
229
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[4]
230
set_location_assignment PIN_AJ32 -to FSM_A[5]
231
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[5]
232
set_location_assignment PIN_AG33 -to FSM_A[6]
233
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[6]
234
set_location_assignment PIN_AL30 -to FSM_A[7]
235
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[7]
236
set_location_assignment PIN_AK33 -to FSM_A[8]
237
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[8]
238
set_location_assignment PIN_AJ33 -to FSM_A[9]
239
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[9]
240
set_location_assignment PIN_AN30 -to FSM_A[10]
241
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[10]
242
set_location_assignment PIN_AH33 -to FSM_A[11]
243
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[11]
244
set_location_assignment PIN_AK32 -to FSM_A[12]
245
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[12]
246
set_location_assignment PIN_AM32 -to FSM_A[13]
247
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[13]
248
set_location_assignment PIN_AM31 -to FSM_A[14]
249
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[14]
250
set_location_assignment PIN_AL31 -to FSM_A[15]
251
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[15]
252
set_location_assignment PIN_AN33 -to FSM_A[16]
253
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[16]
254
set_location_assignment PIN_AP33 -to FSM_A[17]
255
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[17]
256
set_location_assignment PIN_AT32 -to FSM_A[18]
257
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[18]
258
set_location_assignment PIN_AT29 -to FSM_A[19]
259
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[19]
260
set_location_assignment PIN_AP31 -to FSM_A[20]
261
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[20]
262
set_location_assignment PIN_AR30 -to FSM_A[21]
263
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[21]
264
set_location_assignment PIN_AU30 -to FSM_A[22]
265
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[22]
266
set_location_assignment PIN_AJ31 -to FSM_A[23]
267
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[23]
268
set_location_assignment PIN_AP30 -to FSM_A[24]
269
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[24]
270
set_location_assignment PIN_AN31 -to FSM_A[25]
271
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[25]
272
set_location_assignment PIN_AT30 -to FSM_A[26]
273
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[26]
274
 
275
#============================================================
276
# Flash Control
277
#============================================================
278
set_location_assignment PIN_AK29 -to FLASH_ADV_n
279
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_ADV_n
280
set_location_assignment PIN_AE27 -to FLASH_CE_n[0]
281
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CE_n[0]
282
set_location_assignment PIN_BA31 -to FLASH_CE_n[1]
283
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CE_n[1]
284
set_location_assignment PIN_AL29 -to FLASH_CLK
285
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CLK
286
set_location_assignment PIN_AY30 -to FLASH_OE_n
287
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_OE_n
288
set_location_assignment PIN_BA29 -to FLASH_RDY_BSY_n[0]
289
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RDY_BSY_n[0]
290
set_location_assignment PIN_BB32 -to FLASH_RDY_BSY_n[1]
291
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RDY_BSY_n[1]
292
set_location_assignment PIN_AE28 -to FLASH_RESET_n
293
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RESET_n
294
set_location_assignment PIN_AR31 -to FLASH_WE_n
295
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_WE_n
296
 
297
#============================================================
298
# End of pin assignments by Terasic System Builder
299
#============================================================
300
 
301
 
302
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.