OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [boards/] [Xilinx/] [kc705/] [kc705.xdc] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
##-------------------------------------
2
## LED Status Pinout   (bottom to top)
3
##-------------------------------------
4
 
5
#set_property PACKAGE_PIN AB8 [get_ports {led[0]}]
6
#set_property PACKAGE_PIN AA8 [get_ports {led[1]}]
7
#set_property PACKAGE_PIN AC9 [get_ports {led[2]}]
8
#set_property PACKAGE_PIN AB9 [get_ports {led[3]}]
9
#set_property PACKAGE_PIN AE26 [get_ports {led[4]}]
10
#set_property PACKAGE_PIN G19 [get_ports {led[5]}]
11
#set_property PACKAGE_PIN E18 [get_ports {led[6]}]
12
#set_property PACKAGE_PIN F16 [get_ports {led[7]}]
13
 
14
#set_property IOSTANDARD LVCMOS15 [get_ports {led[0]}]
15
#set_property IOSTANDARD LVCMOS15 [get_ports {led[1]}]
16
#set_property IOSTANDARD LVCMOS15 [get_ports {led[2]}]
17
#set_property IOSTANDARD LVCMOS15 [get_ports {led[3]}]
18
#set_property IOSTANDARD LVCMOS25 [get_ports {led[4]}]
19
#set_property IOSTANDARD LVCMOS25 [get_ports {led[5]}]
20
#set_property IOSTANDARD LVCMOS25 [get_ports {led[6]}]
21
#set_property IOSTANDARD LVCMOS25 [get_ports {led[7]}]
22
 
23
#set_property SLEW SLOW [get_ports {led[7]}]
24
#set_property SLEW SLOW [get_ports {led[6]}]
25
#set_property SLEW SLOW [get_ports {led[5]}]
26
#set_property SLEW SLOW [get_ports {led[4]}]
27
#set_property SLEW SLOW [get_ports {led[3]}]
28
#set_property SLEW SLOW [get_ports {led[2]}]
29
#set_property SLEW SLOW [get_ports {led[1]}]
30
#set_property SLEW SLOW [get_ports {led[0]}]
31
 
32
#set_property DRIVE 4 [get_ports {led[7]}]
33
#set_property DRIVE 4 [get_ports {led[6]}]
34
#set_property DRIVE 4 [get_ports {led[5]}]
35
#set_property DRIVE 4 [get_ports {led[4]}]
36
#set_property DRIVE 4 [get_ports {led[3]}]
37
#set_property DRIVE 4 [get_ports {led[2]}]
38
#set_property DRIVE 4 [get_ports {led[1]}]
39
#set_property DRIVE 4 [get_ports {led[0]}]
40
 
41
#-------------
42
# BUTTON
43
#------------
44
 
45
#set_property PACKAGE_PIN AA12 [get_ports {button_n}]
46
#set_property PACKAGE_PIN AB12 [get_ports {button_s}]
47
#set_property PACKAGE_PIN AC6  [get_ports {button_w}]
48
#set_property PACKAGE_PIN AG5  [get_ports {button_e}]
49
#set_property PACKAGE_PIN G12  [get_ports {button_c}]
50
 
51
#set_property IOSTANDARD LVCMOS15 [get_ports {button_n}]
52
#set_property IOSTANDARD LVCMOS15 [get_ports {button_s}]
53
#set_property IOSTANDARD LVCMOS15 [get_ports {button_w}]
54
#set_property IOSTANDARD LVCMOS15 [get_ports {button_e}]
55
#set_property IOSTANDARD LVCMOS25 [get_ports {button_c}]
56
 
57
#--------------------
58
# DIP SW
59
#-------------------
60
 
61
#set_property PACKAGE_PIN Y28  [get_ports {dipsw[3]}]
62
#set_property PACKAGE_PIN AA28 [get_ports {dipsw[2]}]
63
#set_property PACKAGE_PIN W29  [get_ports {dipsw[1]}]
64
#set_property PACKAGE_PIN Y29  [get_ports {dipsw[0]}]
65
 
66
#set_property IOSTANDARD LVCMOS25 [get_ports {dipsw[3]}]
67
#set_property IOSTANDARD LVCMOS25 [get_ports {dipsw[2]}]
68
#set_property IOSTANDARD LVCMOS25 [get_ports {dipsw[1]}]
69
#set_property IOSTANDARD LVCMOS25 [get_ports {dipsw[0]}]
70
 
71
#-----------------------
72
# CLK
73
#-----------------------
74
 
75
# on board differential clock, 200MHz
76
#set_property PACKAGE_PIN AD12 [get_ports clk_p]
77
#set_property IOSTANDARD DIFF_SSTL15 [get_ports clk_n]
78
#set_property PACKAGE_PIN AD11 [get_ports clk_n]
79
#set_property IOSTANDARD DIFF_SSTL15 [get_ports clk_n]
80
 
81
 
82
 
83
# UART Pins
84
#set_property PACKAGE_PIN M19 [get_ports rxd]
85
#set_property IOSTANDARD LVCMOS25 [get_ports rxd]
86
#set_property PACKAGE_PIN K24 [get_ports txd]
87
#set_property IOSTANDARD LVCMOS25 [get_ports txd]
88
 
89
# SD/SPI Pins
90
#set_property PACKAGE_PIN AC21 [get_ports spi_cs]
91
#set_property IOSTANDARD LVCMOS25 [get_ports spi_cs]
92
#set_property PACKAGE_PIN AB23 [get_ports spi_sclk]
93
#set_property IOSTANDARD LVCMOS25 [get_ports spi_sclk]
94
#set_property PACKAGE_PIN AB22 [get_ports spi_mosi]
95
#set_property IOSTANDARD LVCMOS25 [get_ports spi_mosi]
96
#set_property PACKAGE_PIN AC20 [get_ports spi_miso]
97
#set_property IOSTANDARD LVCMOS25 [get_ports spi_miso]
98
 
99
# JTAG DEBUGGER /* julian pavon rivera::josh m4j0rt0m */
100
#set_property PACKAGE_PIN AB25 [get_ports tms]
101
#set_property IOSTANDARD LVCMOS25 [get_ports tms]
102
#set_property PACKAGE_PIN L25 [get_ports tck]
103
#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets tck_IBUF]
104
#set_property IOSTANDARD LVCMOS25 [get_ports tck]
105
#set_property PACKAGE_PIN AB28 [get_ports tdo]
106
#set_property IOSTANDARD LVCMOS25 [get_ports tdo]
107
#set_property PACKAGE_PIN AA27 [get_ports tdi]
108
#set_property IOSTANDARD LVCMOS25 [get_ports tdi]
109
 
110
#FMC LA
111
#set_property PACKAGE_PIN B25 [get_ports FMC_HPC_LA00_CC_N]
112
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA00_CC_N]
113
#set_property PACKAGE_PIN C25 [get_ports FMC_HPC_LA00_CC_P]
114
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA00_CC_P]
115
#set_property PACKAGE_PIN C26 [get_ports FMC_HPC_LA01_CC_N]
116
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA01_CC_N]
117
#set_property PACKAGE_PIN D26 [get_ports FMC_HPC_LA01_CC_P]
118
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA01_CC_P]
119
#set_property PACKAGE_PIN H25 [get_ports FMC_HPC_LA02_N]
120
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA02_N]
121
#set_property PACKAGE_PIN H24 [get_ports FMC_HPC_LA02_P]
122
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA02_P]
123
#set_property PACKAGE_PIN H27 [get_ports FMC_HPC_LA03_N]
124
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA03_N]
125
#set_property PACKAGE_PIN H26 [get_ports FMC_HPC_LA03_P]
126
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA03_P]
127
#set_property PACKAGE_PIN F28 [get_ports FMC_HPC_LA04_N]
128
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA04_N]
129
#set_property PACKAGE_PIN G28 [get_ports FMC_HPC_LA04_P]
130
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA04_P]
131
#set_property PACKAGE_PIN F30 [get_ports FMC_HPC_LA05_N]
132
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA05_N]
133
#set_property PACKAGE_PIN G29 [get_ports FMC_HPC_LA05_P]
134
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA05_P]
135
#set_property PACKAGE_PIN G30 [get_ports FMC_HPC_LA06_N]
136
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA06_N]
137
#set_property PACKAGE_PIN H30 [get_ports FMC_HPC_LA06_P]
138
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA06_P]
139
#set_property PACKAGE_PIN D28 [get_ports FMC_HPC_LA07_N]
140
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA07_N]
141
#set_property PACKAGE_PIN E28 [get_ports FMC_HPC_LA07_P]
142
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA07_P]
143
#set_property PACKAGE_PIN E30 [get_ports FMC_HPC_LA08_N]
144
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA08_N]
145
#set_property PACKAGE_PIN E29 [get_ports FMC_HPC_LA08_P]
146
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA08_P]
147
#set_property PACKAGE_PIN A30 [get_ports FMC_HPC_LA09_N]
148
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA09_N]
149
#set_property PACKAGE_PIN B30 [get_ports FMC_HPC_LA09_P]
150
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA09_P]
151
#set_property PACKAGE_PIN C30 [get_ports FMC_HPC_LA10_N]
152
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA10_N]
153
#set_property PACKAGE_PIN D29 [get_ports FMC_HPC_LA10_P]
154
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA10_P]
155
#set_property PACKAGE_PIN F27 [get_ports FMC_HPC_LA11_N]
156
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA11_N]
157
#set_property PACKAGE_PIN G27 [get_ports FMC_HPC_LA11_P]
158
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA11_P]
159
#set_property PACKAGE_PIN B29 [get_ports FMC_HPC_LA12_N]
160
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA12_N]
161
#set_property PACKAGE_PIN C29 [get_ports FMC_HPC_LA12_P]
162
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA12_P]
163
#set_property PACKAGE_PIN A26 [get_ports FMC_HPC_LA13_N]
164
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA13_N]
165
#set_property PACKAGE_PIN A25 [get_ports FMC_HPC_LA13_P]
166
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA13_P]
167
#set_property PACKAGE_PIN A28 [get_ports FMC_HPC_LA14_N]
168
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA14_N]
169
#set_property PACKAGE_PIN B28 [get_ports FMC_HPC_LA14_P]
170
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA14_P]
171
#set_property PACKAGE_PIN B24 [get_ports FMC_HPC_LA15_N]
172
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA15_N]
173
#set_property PACKAGE_PIN C24 [get_ports FMC_HPC_LA15_P]
174
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA15_P]
175
#set_property PACKAGE_PIN A27 [get_ports FMC_HPC_LA16_N]
176
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA16_N]
177
#set_property PACKAGE_PIN B27 [get_ports FMC_HPC_LA16_P]
178
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA16_P]
179
#set_property PACKAGE_PIN E20 [get_ports FMC_HPC_LA17_CC_N]
180
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_N]
181
#set_property PACKAGE_PIN F20 [get_ports FMC_HPC_LA17_CC_P]
182
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA17_CC_P]
183
#set_property PACKAGE_PIN E21 [get_ports FMC_HPC_LA18_CC_N]
184
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_N]
185
#set_property PACKAGE_PIN F21 [get_ports FMC_HPC_LA18_CC_P]
186
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA18_CC_P]
187
#set_property PACKAGE_PIN F18 [get_ports FMC_HPC_LA19_N]
188
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_N]
189
#set_property PACKAGE_PIN G18 [get_ports FMC_HPC_LA19_P]
190
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA19_P]
191
#set_property PACKAGE_PIN D19 [get_ports FMC_HPC_LA20_N]
192
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_N]
193
#set_property PACKAGE_PIN E19 [get_ports FMC_HPC_LA20_P]
194
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA20_P]
195
#set_property PACKAGE_PIN A21 [get_ports FMC_HPC_LA21_N]
196
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_N]
197
#set_property PACKAGE_PIN A20 [get_ports FMC_HPC_LA21_P]
198
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA21_P]
199
#set_property PACKAGE_PIN B20 [get_ports FMC_HPC_LA22_N]
200
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_N]
201
#set_property PACKAGE_PIN C20 [get_ports FMC_HPC_LA22_P]
202
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA22_P]
203
#set_property PACKAGE_PIN A22 [get_ports FMC_HPC_LA23_N]
204
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_N]
205
#set_property PACKAGE_PIN B22 [get_ports FMC_HPC_LA23_P]
206
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA23_P]
207
#set_property PACKAGE_PIN A17 [get_ports FMC_HPC_LA24_N]
208
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_N]
209
#set_property PACKAGE_PIN A16 [get_ports FMC_HPC_LA24_P]
210
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA24_P]
211
#set_property PACKAGE_PIN F17 [get_ports FMC_HPC_LA25_N]
212
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_N]
213
#set_property PACKAGE_PIN G17 [get_ports FMC_HPC_LA25_P]
214
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA25_P]
215
#set_property PACKAGE_PIN A18 [get_ports FMC_HPC_LA26_N]
216
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_N]
217
#set_property PACKAGE_PIN B18 [get_ports FMC_HPC_LA26_P]
218
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA26_P]
219
#set_property PACKAGE_PIN B19 [get_ports FMC_HPC_LA27_N]
220
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_N]
221
#set_property PACKAGE_PIN C19 [get_ports FMC_HPC_LA27_P]
222
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA27_P]
223
#set_property PACKAGE_PIN C16 [get_ports FMC_HPC_LA28_N]
224
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA28_N]
225
#set_property PACKAGE_PIN D16 [get_ports FMC_HPC_LA28_P]
226
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA28_P]
227
#set_property PACKAGE_PIN B17 [get_ports FMC_HPC_LA29_N]
228
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA29_N]
229
#set_property PACKAGE_PIN C17 [get_ports FMC_HPC_LA29_P]
230
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA29_P]
231
#set_property PACKAGE_PIN C22 [get_ports FMC_HPC_LA30_N]
232
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA30_N]
233
#set_property PACKAGE_PIN D22 [get_ports FMC_HPC_LA30_P]
234
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA30_P]
235
#set_property PACKAGE_PIN F22 [get_ports FMC_HPC_LA31_N]
236
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA31_N]
237
#set_property PACKAGE_PIN G22 [get_ports FMC_HPC_LA31_P]
238
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA31_P]
239
#set_property PACKAGE_PIN C21 [get_ports FMC_HPC_LA32_N]
240
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA32_N]
241
#set_property PACKAGE_PIN D21 [get_ports FMC_HPC_LA32_P]
242
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA32_P]
243
#set_property PACKAGE_PIN H22 [get_ports FMC_HPC_LA33_N]
244
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA33_N]
245
#set_property PACKAGE_PIN H21 [get_ports FMC_HPC_LA33_P]
246
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_LA33_P]
247
## FMC HA
248
#set_property PACKAGE_PIN D13 [get_ports FMC_HPC_HA00_CC_N]
249
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA00_CC_N]
250
#set_property PACKAGE_PIN D12 [get_ports FMC_HPC_HA00_CC_P]
251
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA00_CC_P]
252
#set_property PACKAGE_PIN G14 [get_ports FMC_HPC_HA01_CC_N]
253
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA01_CC_N]
254
#set_property PACKAGE_PIN H14 [get_ports FMC_HPC_HA01_CC_P]
255
# set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA01_CC_P]
256
#set_property PACKAGE_PIN C11 [get_ports FMC_HPC_HA02_N]
257
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA02_N]
258
#set_property PACKAGE_PIN D11 [get_ports FMC_HPC_HA02_P]
259
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA02_P]
260
#set_property PACKAGE_PIN B12 [get_ports FMC_HPC_HA03_N]
261
#set_property IOSTANDARD LVCMOS25 [get_ports FMC_HPC_HA03_N]
262
 
263
 
264
 
265
#TIME
266
#jtag clk
267
#create_clock -period 100.000 -name jtag_clk -waveform {0.000 50.000} -add [get_ports tck]
268
#main clk
269
#create_clock -period 5.000 -name ext_clk -waveform {0.000 2.500} -add [get_ports clk_p]
270
#create_clock -period 5.000 -name asic_clk -waveform {0.000 2.500} -add [get_nets clk_asic]
271
#create_clock -period 20.000 -name Sysclk_clk -waveform {0.000 10.000} -add [get_nets clk]
272
#Diferent clock domains
273
#set_false_path -from [get_clocks asic_clk] -to [get_clocks Sysclk_clk]
274
#set_false_path -from [get_clocks Sysclk_clk] -to [get_clocks asic_clk]
275
#set_clock_groups -asynchronous \
276
#-group {jtag_clk} \
277
#-group {ext_clk} \
278
#-group {asic_clk} \
279
#-group {Sysclk_clk}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.