OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [interface/] [jtag_to_wb.ITC] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
#######################################################################
2
##      File: jtag_to_wb.ITC
3
##
4
##      Copyright (C) 2014-2019  Alireza Monemi
5
##
6
##      This file is part of ProNoC 1.9.1
7
##
8
##      WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
9
##      MAY CAUSE UNEXPECTED BEHAIVOR.
10
################################################################################
11
 
12
$HashRef = bless( {
13
                    'module_name' => 'single_port_ram_top',
14
                    'category' => 'Communication',
15
                    'gui_status' => {
16
                                      'status' => 'ideal',
17
                                      'timeout' => 0
18
                                    },
19
                    'name' => 'jtag_to_wb',
20
                    'modules' => {
21
                                   'wb_single_port_ram' => {},
22
                                   'single_port_ram_top' => {}
23
                                 },
24
                    'ports' => {
25
                                 'jwb_i' => {
26
                                              'connect_name' => 'jwb_i',
27
                                              'default_out' => 'Active low',
28
                                              'type' => 'input',
29
                                              'connect_type' => 'output',
30
                                              'range' => 'JWB_INw-1:0',
31
                                              'connect_range' => 'JWB_INw-1:0',
32
                                              'name' => 'jwb_i',
33
                                              'outport_type' => 'concatenate'
34
                                            },
35
                                 'jwb_o' => {
36
                                              'outport_type' => 'concatenate',
37
                                              'name' => 'jwb_o',
38
                                              'connect_type' => 'input',
39
                                              'type' => 'output',
40
                                              'default_out' => 'Active low',
41
                                              'connect_range' => 'JWB_OUTw-1:0',
42
                                              'range' => 'JWB_OUTw-1:0',
43
                                              'connect_name' => 'jwb_o'
44
                                            }
45
                               },
46
                    'connection_num' => 'single connection',
47
                    'file_name' => 'mpsoc/rtl/src_peripheral/ram/wb_single_port_ram.v',
48
                    'type' => 'socket'
49
                  }, 'intfc_gen' );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.