OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [ip/] [Communication/] [source_probe.IP] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
#######################################################################
2
##      File: source_probe.IP
3
##
4
##      Copyright (C) 2014-2019  Alireza Monemi
5
##
6
##      This file is part of ProNoC 1.9.1
7
##
8
##      WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
9
##      MAY CAUSE UNEXPECTED BEHAIVOR.
10
################################################################################
11
 
12
$ipgen = bless( {
13
                  'ports_order' => [
14
                                     'reset',
15
                                     'clk',
16
                                     'source_o',
17
                                     'probe_i',
18
                                     'jtag_to_wb',
19
                                     'wb_to_jtag'
20
                                   ],
21
                  'unused' => undef,
22
                  'gui_status' => {
23
                                    'timeout' => 0,
24
                                    'status' => 'ideal'
25
                                  },
26
                  'ports' => {
27
                               'reset' => {
28
                                            'intfc_port' => 'reset_i',
29
                                            'intfc_name' => 'plug:reset[0]',
30
                                            'type' => 'input',
31
                                            'range' => ''
32
                                          },
33
                               'jtag_to_wb' => {
34
                                                 'intfc_name' => 'socket:jtag_to_wb[0]',
35
                                                 'intfc_port' => 'jwb_i',
36
                                                 'type' => 'input',
37
                                                 'range' => 'J2WBw-1 : 0'
38
                                               },
39
                               'source_o' => {
40
                                               'type' => 'output',
41
                                               'range' => 'Dw-1 :0',
42
                                               'intfc_name' => 'IO',
43
                                               'intfc_port' => 'IO'
44
                                             },
45
                               'probe_i' => {
46
                                              'range' => 'Dw-1  :0',
47
                                              'type' => 'input',
48
                                              'intfc_port' => 'IO',
49
                                              'intfc_name' => 'IO'
50
                                            },
51
                               'clk' => {
52
                                          'range' => '',
53
                                          'type' => 'input',
54
                                          'intfc_port' => 'clk_i',
55
                                          'intfc_name' => 'plug:clk[0]'
56
                                        },
57
                               'wb_to_jtag' => {
58
                                                 'intfc_port' => 'jwb_o',
59
                                                 'intfc_name' => 'socket:jtag_to_wb[0]',
60
                                                 'range' => 'WB2Jw-1: 0',
61
                                                 'type' => 'output'
62
                                               }
63
                             },
64
                  'ip_name' => 'source_probe',
65
                  'parameters' => {
66
                                    'JTAG_CHAIN' => {
67
                                                      'global_param' => 'Parameter',
68
                                                      'default' => '3',
69
                                                      'content' => '1,2,3,4 ',
70
                                                      'redefine_param' => 0,
71
                                                      'type' => 'Combo-box',
72
                                                      'info' => 'Only used for Virtex 4/5 devices. May be 1, 2, 3, or 4. It is the  BSCANE2 JTAG tap number.'
73
                                                    },
74
                                    'JAw' => {
75
                                               'type' => 'Fixed',
76
                                               'info' => 'Parameter',
77
                                               'global_param' => 'Parameter',
78
                                               'default' => '32',
79
                                               'redefine_param' => 1,
80
                                               'content' => ''
81
                                             },
82
                                    'Dw' => {
83
                                              'info' => 'probe/probe width in bits ',
84
                                              'type' => 'Spin-button',
85
                                              'content' => '1,32,1',
86
                                              'redefine_param' => 1,
87
                                              'global_param' => 'Localparam',
88
                                              'default' => '2'
89
                                            },
90
                                    'JTAG_CONNECT' => {
91
                                                        'info' => 'Parameter',
92
                                                        'type' => 'Combo-box',
93
                                                        'content' => '"ALTERA_JTAG_WB","XILINX_JTAG_WB" ',
94
                                                        'redefine_param' => 1,
95
                                                        'default' => '"XILINX_JTAG_WB"',
96
                                                        'global_param' => 'Parameter'
97
                                                      },
98
                                    'WB2Jw' => {
99
                                                 'default' => '(JTAG_CONNECT== "XILINX_JTAG_WB") ? 1+JSTATUSw+JINDEXw+1+JDw  : 1',
100
                                                 'global_param' => 'Parameter',
101
                                                 'content' => '',
102
                                                 'redefine_param' => 1,
103
                                                 'info' => 'Parameter',
104
                                                 'type' => 'Fixed'
105
                                               },
106
                                    'JINDEXw' => {
107
                                                   'default' => '8',
108
                                                   'global_param' => 'Parameter',
109
                                                   'redefine_param' => 1,
110
                                                   'content' => '',
111
                                                   'info' => 'Parameter',
112
                                                   'type' => 'Fixed'
113
                                                 },
114
                                    'JDw' => {
115
                                               'info' => 'Parameter',
116
                                               'type' => 'Fixed',
117
                                               'content' => '',
118
                                               'redefine_param' => 1,
119
                                               'global_param' => 'Parameter',
120
                                               'default' => '32'
121
                                             },
122
                                    'JSTATUSw' => {
123
                                                    'info' => 'Parameter',
124
                                                    'type' => 'Fixed',
125
                                                    'redefine_param' => 1,
126
                                                    'content' => '',
127
                                                    'default' => '8',
128
                                                    'global_param' => 'Parameter'
129
                                                  },
130
                                    'J2WBw' => {
131
                                                 'info' => 'Parameter',
132
                                                 'type' => 'Fixed',
133
                                                 'redefine_param' => 1,
134
                                                 'content' => '',
135
                                                 'global_param' => 'Parameter',
136
                                                 'default' => '(JTAG_CONNECT== "XILINX_JTAG_WB") ? 1+1+JDw+JAw : 1'
137
                                               },
138
                                    'JTAG_INDEX' => {
139
                                                      'global_param' => 'Parameter',
140
                                                      'default' => ' 0',
141
                                                      'content' => '0,128,1',
142
                                                      'redefine_param' => 1,
143
                                                      'info' => ' A unique index number which will be used for adressing this source probe module.',
144
                                                      'type' => 'Spin-button'
145
                                                    }
146
                                  },
147
                  'modules' => {
148
                                 'pronoc_jtag_source_probe' => {}
149
                               },
150
                  'version' => 5,
151
                  'module_name' => 'pronoc_jtag_source_probe',
152
                  'file_name' => 'mpsoc/rtl/src_peripheral/jtag/jtag_wb/pronoc_jtag_source_probe.v',
153
                  'plugs' => {
154
                               'clk' => {
155
                                          'value' => 1,
156
                                          '0' => {
157
                                                   'name' => 'clk'
158
                                                 },
159
                                          'type' => 'num'
160
                                        },
161
                               'reset' => {
162
                                            '0' => {
163
                                                     'name' => 'reset'
164
                                                   },
165
                                            'value' => 1,
166
                                            'type' => 'num'
167
                                          }
168
                             },
169
                  'description' => ' A source/probe that can be controled using xilinx bscan chain or Altera vjtag. ',
170
                  'hdl_files' => [],
171
                  'parameters_order' => [
172
                                          'Dw',
173
                                          'JTAG_CONNECT',
174
                                          'JTAG_INDEX',
175
                                          'JDw',
176
                                          'JAw',
177
                                          'JINDEXw',
178
                                          'JSTATUSw',
179
                                          'J2WBw',
180
                                          'WB2Jw',
181
                                          'JTAG_CHAIN'
182
                                        ],
183
                  'category' => 'Communication',
184
                  'sockets' => {
185
                                 'jtag_to_wb' => {
186
                                                   'connection_num' => 'single connection',
187
                                                   'type' => 'num',
188
                                                   '0' => {
189
                                                            'name' => 'jtag_to_wb'
190
                                                          },
191
                                                   'value' => 1
192
                                                 }
193
                               }
194
                }, 'ip_gen' );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.