OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [verilog_gen.pl] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 alirezamon
use Glib qw/TRUE FALSE/;
2
#use Gtk2 '-init';
3
 
4
use lib 'lib/perl';
5
 
6
use strict;
7
use warnings;
8
use soc;
9
use ip;
10
use ip_gen;
11
use Cwd;
12
 
13
 
14
 
15
 
16
 
17
######################
18
#   soc_generate_verilog
19
#####################
20
 
21
sub soc_generate_verilog{
22 34 alirezamon
        my ($soc,$sw_path)= @_;
23 25 alirezamon
        my $soc_name=$soc->object_get_attribute('soc_name');
24 16 alirezamon
        #my $top_ip=ip_gen->ip_gen_new();
25
        my $top_ip=ip_gen->top_gen_new();
26
        if(!defined $soc_name){$soc_name='soc'};
27
 
28
        my @instances=$soc->soc_get_all_instances();
29
        my $io_sim_v;
30 38 alirezamon
        my $io_top_sim_v;
31 28 alirezamon
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
32
        $core_id= 0 if(!defined $core_id);
33 34 alirezamon
        my $param_as_in_v="\tparameter\tCORE_ID=$core_id,
34
\tparameter\tSW_LOC=\"$sw_path\"";
35 28 alirezamon
 
36
 
37
 
38
 
39 34 alirezamon
        my $param_pass_v="\t.CORE_ID(CORE_ID),\n\t.SW_LOC(SW_LOC)";
40 16 alirezamon
        my $body_v;
41
 
42 38 alirezamon
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all,$io_top_full_v_all);
43 17 alirezamon
        my $wires=soc->new_wires();
44
        my $intfc=interface->interface_new();
45 25 alirezamon
 
46 16 alirezamon
        foreach my $id (@instances){
47 38 alirezamon
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v)=gen_module_inst($id,$soc,\$io_sim_v,\$io_top_sim_v,\$param_as_in_v,$top_ip,$intfc,$wires,\$param_pass_v);
48 16 alirezamon
                my $inst        = $soc->soc_get_instance_name($id);
49
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
50
 
51
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
52
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
53
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
54
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
55
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
56
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(defined($io_full_v));
57 38 alirezamon
                add_text_to_string(\$io_top_full_v_all,"$io_top_full_v\n")                      if(defined($io_top_full_v));
58 16 alirezamon
 
59
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
60
 
61
        }
62 17 alirezamon
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
63 16 alirezamon
 
64
        #add functions
65
        my $dir = Cwd::getcwd();
66
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
67
        my $functions_all='';
68
        while (my $f1 = readline ($file1)) {
69
                 $functions_all="$functions_all $f1 ";
70
        }
71
        close($file1);
72 17 alirezamon
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
73
 
74 16 alirezamon
 
75
        my $soc_v = (defined $param_as_in_v )? "module $soc_name #(\n $param_as_in_v\n)(\n$io_sim_v\n);\n": "module $soc_name (\n$io_sim_v\n);\n";
76
        add_text_to_string(\$soc_v,$functions_all);
77
        add_text_to_string(\$soc_v,$local_param_v_all);
78
        add_text_to_string(\$soc_v,$addr_localparam);
79
        add_text_to_string(\$soc_v,$module_addr_localparam);
80
        add_text_to_string(\$soc_v,$io_full_v_all);
81
        add_text_to_string(\$soc_v,$wire_def_v_all);
82 17 alirezamon
        add_text_to_string(\$soc_v,$unused_wiers_v);
83 16 alirezamon
        add_text_to_string(\$soc_v,$inst_v_all);
84
        add_text_to_string(\$soc_v,$plugs_assign_v_all);
85
        add_text_to_string(\$soc_v,$sockets_assign_v_all);
86
        add_text_to_string(\$soc_v,$addr_map);
87
        add_text_to_string(\$soc_v,"endmodule\n\n");
88
 
89
 
90 34 alirezamon
        $soc->object_add_attribute('top_ip',undef,$top_ip);
91 17 alirezamon
        #print @assigned_wires;
92 16 alirezamon
 
93 25 alirezamon
        #generate topmodule
94
 
95 38 alirezamon
        my $top_v = (defined $param_as_in_v )? "module ${soc_name}_top #(\n $param_as_in_v\n)(\n$io_top_sim_v\n);\n": "module ${soc_name}_top (\n $io_top_sim_v\n);\n";
96 25 alirezamon
        my $ins= gen_soc_instance_v($soc,$soc_name,$param_pass_v);
97 34 alirezamon
        add_text_to_string(\$top_v,$functions_all);
98 38 alirezamon
        add_text_to_string(\$top_v,$local_param_v_all."\n".$io_top_full_v_all);
99 25 alirezamon
        add_text_to_string(\$top_v,$ins);
100 28 alirezamon
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v);
101
        return ("$soc_v",$top_v,$readme,$prog);
102 25 alirezamon
 
103
 
104 16 alirezamon
}
105
 
106
#################
107
#       gen_module_inst
108
###############
109
 
110
sub gen_module_inst {
111 38 alirezamon
        my ($id,$soc,$io_sim_v,$io_top_sim_v,$param_as_in_v,$top_ip, $intfc,$wires,$param_pass_v)=@_;
112 16 alirezamon
        my $module      =$soc->soc_get_module($id);
113
        my $module_name =$soc->soc_get_module_name($id);
114
        my $category    =$soc->soc_get_category($id);
115
 
116
        my $inst        = $soc->soc_get_instance_name($id);
117
        my %params      = $soc->soc_get_module_param($id);
118 17 alirezamon
 
119 16 alirezamon
        my $ip = ip->lib_new ();
120
 
121
        my @ports=$ip->ip_list_ports($category,$module);
122 38 alirezamon
        my ($inst_v,$intfc_v,$plugs_assign_v,$sockets_assign_v,$io_full_v,$io_top_full_v);
123 25 alirezamon
        my $wire_def_v="";
124 16 alirezamon
        $plugs_assign_v="\n";
125
 
126
        my $counter=0;
127
        my @param_order=$soc->soc_get_instance_param_order($id);
128
 
129 25 alirezamon
        my ($param_v,$local_param_v,$instance_param_v)= gen_parameter_v(\%params,$id,$inst,$category,$module,$ip,$param_as_in_v,\@param_order,$top_ip,$param_pass_v);
130 16 alirezamon
 
131
 
132 25 alirezamon
 
133 16 alirezamon
        $top_ip->top_add_def_to_instance($id,'module',$module);
134
        $top_ip->top_add_def_to_instance($id,'module_name',$module_name);
135
        $top_ip->top_add_def_to_instance($id,'category',$category);
136
        $top_ip->top_add_def_to_instance($id,'instance',$inst);
137
 
138
 
139
 
140
 
141
 
142
 
143
        #module name    
144 25 alirezamon
        $inst_v=( defined $instance_param_v )? "$module_name #(\n": $module_name ;
145 16 alirezamon
 
146
 
147
 
148
        #module parameters
149 25 alirezamon
        $inst_v=( defined $instance_param_v)? "$inst_v $instance_param_v\n\t)": $inst_v;
150 16 alirezamon
        #module instance name 
151
        $inst_v="$inst_v  $inst \t(\n";
152
 
153
        #module ports
154
        $counter=0;
155
        foreach my $port (@ports){
156
                my ($type,$range,$intfc_name,$i_port)=$ip->ip_get_port($category,$module,$port);
157
                my $assigned_port;
158
                my($i_type,$i_name,$i_num) =split("[:\[ \\]]", $intfc_name);
159
                my $IO='no';
160
                my $NC='no';
161
                if($i_type eq 'plug'){
162
                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
163
                        if($connect_id eq 'IO'){ $IO='yes';}
164
                        if($connect_id eq 'NC'){ $NC='yes';}
165
                }
166 38 alirezamon
                if($i_type eq 'socket' && $i_name ne'wb_addr_map'){
167 16 alirezamon
 
168
                        my ($ref1,$ref2)= $soc->soc_get_modules_plug_connected_to_socket($id,$i_name,$i_num);
169
                        my %connected_plugs=%$ref1;
170
                        my %connected_plug_nums=%$ref2;
171
                        if(!%connected_plugs ){
172
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
173
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
174
                                if ( length( $v || '' )){ $IO='no';} else {$IO='yes';}
175
                        }
176
                }
177 38 alirezamon
 
178 16 alirezamon
                if($NC eq 'yes'){
179
 
180
 
181
                }
182
                elsif($IO eq 'yes' || !defined $i_type || !defined $i_name || !defined $i_num){ #its an IO port
183 25 alirezamon
                        if($i_port eq 'NC'){
184
                                $NC='yes';
185
                        }else {
186
                                 $assigned_port="$inst\_$port";
187
                                 $$io_sim_v= (!defined $$io_sim_v)? "\t$assigned_port" : "$$io_sim_v, \n\t$assigned_port";
188 38 alirezamon
                                 $$io_top_sim_v= (!defined $$io_top_sim_v)? "\t$assigned_port" : "$$io_top_sim_v, \n\t$assigned_port" if ($i_name ne 'RxD_sim');
189 25 alirezamon
                                 my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
190
                                 my $port_def=(length ($range)>1 )?     "\t$type\t [ $new_range    ] $assigned_port;\n": "\t$type\t\t\t$assigned_port;\n";
191
                                 add_text_to_string(\$io_full_v,$port_def);
192 38 alirezamon
                                 add_text_to_string(\$io_top_full_v,$port_def) if ($i_name ne 'RxD_sim');
193 25 alirezamon
                                # $top_ip->ipgen_add_port($assigned_port, $new_range, $type ,$intfc_name,$i_port);
194
                                $top_ip->top_add_port($id,$assigned_port, $new_range, $type ,$intfc_name,$i_port);
195
                        }
196 16 alirezamon
 
197
 
198
                }
199
                else{ # port connected internally using interface 
200
                         $assigned_port="$inst\_$i_type\_$i_name\_$i_num\_$i_port";
201
 
202
                         #create plug wires
203 25 alirezamon
                         my ($wire_string,$port_name)=generate_wire ($range,$assigned_port,$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
204
                         #add wire def if it is not defined before
205
 
206
                         add_text_to_string(\$wire_def_v,$wire_string)  if ($wire_def_v !~ /[\s\]]$port_name;/);
207 16 alirezamon
 
208
 
209
 
210
                        if($i_type eq 'plug'){
211
                                #read socket port name
212
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
213
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_plug($i_name,$i_port);
214
                                #my $connect_port= "socket_$i_name\_$i_num\_$i_connect";
215
                                if(defined $connect_socket_num){
216
                                        my $connect_n=$soc->soc_get_instance_name($connect_id);
217
                                        my $connect_port= "$connect_n\_socket_$i_name\_$connect_socket_num\_$i_connect";
218
                                        #connect plug port to socket port
219
                                        my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
220
                                        my $connect_port_range=(length($new_range)>1)?"$connect_port\[$new_range\]":$connect_port;
221 17 alirezamon
 
222
                                        if($type eq 'input' ){
223
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $assigned_port = $connect_port_range;\n";
224
                                                $wires->wire_add($assigned_port,"connected",1);
225
 
226
                                        }else{
227
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $connect_port  = $assigned_port;\n";
228
                                                $wires->wire_add($connect_port,"connected",1);
229
                                        }
230
 
231
 
232 16 alirezamon
                                }
233
                        }#plug
234
                        else{ #socket
235
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
236
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
237
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_socket($i_name,$i_port);
238
                                if ( length( $v || '' )) {
239
                                                $v--;
240
                                                my $name= $soc->soc_get_instance_name($id);
241
                                                my $joint= "$name\_$i_type\_$i_name\_$v\_$i_port";
242
 
243 25 alirezamon
                                                my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$v\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
244
                                                add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
245 16 alirezamon
 
246
                                                for(my $i=$v-1; $i>=0; $i--) {
247
                                                        $joint= "$joint ,$name\_$i_type\_$i_name\_$i\_$i_port";
248
                                                        #create socket wires
249
                                                         #create plug wires
250 25 alirezamon
                                                        my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$i\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
251
                                                        add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
252 16 alirezamon
 
253
 
254
 
255
 
256
 
257
 
258
                                                }
259 17 alirezamon
                                                $wires->wire_add($assigned_port,"connected",1)  if($type eq 'input');
260
                                                if($type ne 'input' ){
261
                                                        my @w=split('\s*,\s*',$joint);
262
                                                        foreach my $q (@w) {
263
                                                                $wires->wire_add($q,"connected",1);
264
                                                        }
265
 
266
                                                }
267
                                                $joint=($v>0)? "\{ $joint\ }" : "$joint";
268
                                                my $text=($type eq 'input' )? "\tassign $assigned_port = $joint;\n": "\tassign $joint = $assigned_port;\n";
269
 
270 16 alirezamon
                                                add_text_to_string(\$sockets_assign_v,$text);
271
                                }
272
 
273
 
274
 
275
                        }#socket        
276
 
277
 
278
                }
279
 
280
 
281
 
282 17 alirezamon
                if (++$counter == scalar(@ports)){#last port def
283 16 alirezamon
 
284
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port()\n": "$inst_v\t\t.$port($assigned_port)\n";
285
 
286
                }
287
                else {
288
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port(),\n":"$inst_v\t\t.$port($assigned_port),\n";
289
                }
290
 
291 17 alirezamon
                if($type ne 'input' && $NC ne 'yes' ){
292
                        $wires->wire_add($assigned_port,"connected",1);
293
 
294
                }
295 16 alirezamon
 
296
 
297
 
298
        }
299
        $inst_v="$inst_v\t);\n";
300
 
301 17 alirezamon
 
302
 
303
 
304 38 alirezamon
        return ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v,$param_pass_v);
305 16 alirezamon
 
306
 
307
}
308
 
309
 
310
sub add_instantc_name_to_parameters{
311
        my ($params_ref,$inst,$range)=@_;
312
        my $new_range=$range;
313
        #print "$new_range\n";
314 38 alirezamon
        return $new_range if(!defined $range);
315 16 alirezamon
        my @list=sort keys%{$params_ref};
316
        foreach my $param (@list){
317
                my $new_param= "$inst\_$param";
318
                ($new_range=$new_range)=~ s/\b$param\b/$new_param/g;
319
                #print "$new_range= s/\b$param\b/$new_param/g\n";
320
        }
321
                return $new_range;
322
}
323
 
324
 
325
sub gen_parameter_v{
326 25 alirezamon
        my ($param_ref,$id,$inst,$category,$module,$ip,$param_as_in_v,$ref_ordered,$top_ip,$param_pass_v)=@_;
327 16 alirezamon
        my %params=%$param_ref;
328
        my @param_order;
329
        @param_order=@{$ref_ordered} if(defined $ref_ordered);
330
 
331
        my ($param_v,$local_param_v,$instance_param_v);
332
        my @list;
333
        @list= (@param_order)? @param_order :
334
sort keys%params;
335
        my $first_param=1;
336 25 alirezamon
 
337 16 alirezamon
        $local_param_v="";
338
        $param_v="";
339 25 alirezamon
 
340 16 alirezamon
        #add instance name to parameter value
341
        foreach my $param (@list){
342
                $params{$param}=add_instantc_name_to_parameters(\%params,$inst,$params{$param});
343
 
344
        }
345
 
346
 
347
        #print parameters
348
        foreach my $param (@list){
349
                my $inst_param= "$inst\_$param";
350 38 alirezamon
                my ($default,$type,$content,$info,$vfile_param_type,$redefine_param)= $ip->ip_get_parameter($category,$module,$param);
351 25 alirezamon
                $vfile_param_type= "Don't include" if (!defined $vfile_param_type );
352
                $vfile_param_type= "Parameter"  if ($vfile_param_type eq 1);
353
                $vfile_param_type= "Localparam" if ($vfile_param_type eq 0);
354
                $redefine_param=1 if (! defined $redefine_param);
355
                $redefine_param=0 if ($vfile_param_type eq "Don't include");
356
                if($redefine_param eq 1){
357
                        $instance_param_v=($first_param eq 1)? "\t\t.$param($inst_param)" : "$instance_param_v,\n\t\t.$param($inst_param)";
358
                        $first_param=0;
359 16 alirezamon
 
360
                }
361
 
362
 
363 25 alirezamon
 
364
                if($vfile_param_type eq "Localparam"){
365 16 alirezamon
                        $local_param_v="$local_param_v\tlocalparam\t$inst_param=$params{$param};\n";
366 25 alirezamon
                }
367
                elsif($vfile_param_type eq "Parameter"){
368 16 alirezamon
                        $param_v="$param_v\tparameter\t$inst_param=$params{$param};\n";
369 25 alirezamon
                        $$param_pass_v =(defined ($$param_pass_v ))? "$$param_pass_v,\n\t.$inst_param($inst_param)": "\t.$inst_param($inst_param)";
370 16 alirezamon
                        $$param_as_in_v=(defined ($$param_as_in_v))? "$$param_as_in_v ,\n\tparameter\t$inst_param=$params{$param}":
371
                                                                                                                 "   \tparameter\t$inst_param=$params{$param}";
372
                        #add parameter to top 
373
                        #$top_ip  $inst_param                   
374 25 alirezamon
                        $top_ip->top_add_parameter($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
375 16 alirezamon
 
376
                }
377
 
378
 
379
 
380
        }
381
 
382
        return ($param_v,$local_param_v,$instance_param_v);
383
 
384
 
385
}
386
 
387
###############
388
#       generate_address_cmp
389
##############
390
 
391
sub generate_address_cmp{
392 17 alirezamon
        my ($soc,$wires)=@_;
393 16 alirezamon
        my $number=0;
394
        my $addr_mp_v="\n//Wishbone slave address match\n";
395
        my $instance_addr_localparam="\n//Wishbone slave base address based on instance name\n";
396
        my $module_addr_localparam="\n//Wishbone slave base address based on module name. \n";
397
 
398
        my @all_instances=$soc->soc_get_all_instances();
399
        foreach my $instance_id (@all_instances){
400
                my $instance_name=$soc->soc_get_instance_name($instance_id);
401
                        my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
402
                        foreach my $plug (@plugs){
403 25 alirezamon
                                my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
404 16 alirezamon
                                foreach my $num (@nums){
405
                                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
406
                                        if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
407 25 alirezamon
                                                #read wishbone bus address and data width size                                          
408
                                                my $Aw=$soc->soc_get_module_param_value ($connect_id,'Aw');
409
                                                my $Dw=$soc->soc_get_module_param_value ($connect_id,'Dw');
410
                                                $Aw=32 if (!defined $Aw);
411
                                                $Dw=32 if (!defined $Dw);
412 16 alirezamon
                                                add_text_to_string(\$addr_mp_v,"/* $instance_name wb_slave $num */\n");
413 25 alirezamon
                                                #count how many nibbles we have in address size 
414
                                                my $hh= ($Aw % 4)? ($Aw >> 2)+1 : ($Aw >> 2);
415
                                                $hh= "'h%0${hh}x";#hex address nibble num
416
                                                #change addresses to word as the assign addresses by ProNoC GUI are in bytes  
417
                                                my $bytenum=($Dw/8);
418
                                                my $base_hex=$Aw.sprintf($hh, ($base/$bytenum));
419
                                                my $end_hex=$Aw.sprintf($hh, ($end/$bytenum));
420
                                                #my $base_hex=sprintf("32'h%08x", ($base>>2));
421
                                                #my $end_hex=sprintf("32'h%08x", ($end>>2));
422
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
423
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_END_ADDR\t=\t$end_hex;\n");
424 16 alirezamon
                                                if($instance_name ne $instance_id){
425 25 alirezamon
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
426
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_END_ADDR\t=\t$end_hex;\n");
427 16 alirezamon
                                                }
428
 
429 17 alirezamon
                                                my $connect_name=$soc->soc_get_instance_name($connect_id);
430
                                                $wires->wire_add("$connect_name\_socket_wb_addr_map_0_sel_one_hot","connected",1);
431 25 alirezamon
                                                $addr_mp_v="$addr_mp_v \tassign $connect_name\_socket_wb_addr_map_0_sel_one_hot[$connect_socket_num\] = (($connect_name\_socket_wb_addr_map_0_grant_addr >= $instance_name\_WB$num\_BASE_ADDR)   & ($connect_name\_socket_wb_addr_map_0_grant_addr <= $instance_name\_WB$num\_END_ADDR));\n";
432 16 alirezamon
 
433
                                                $number++;
434
                                        }#if
435
                                }#foreach my $num
436
                        }#foreach my $plug
437
                }#foreach my $instance_id
438
 
439
                add_text_to_string(\$instance_addr_localparam,"\n");
440
                add_text_to_string(\$module_addr_localparam,"\n");
441
                return ($addr_mp_v,$instance_addr_localparam,$module_addr_localparam);
442
}
443
 
444
 
445
 
446
 
447
 
448
 
449
 
450
 
451
 
452
sub add_text_to_string{
453
                my ($string,$text)=@_;
454
                if(defined $text){
455
                        $$string=(defined ($$string))? "$$string $text" : $text;
456
                }
457
}
458
 
459
 
460
 
461
sub generate_wire {
462 17 alirezamon
        my($range,$port_name,$inst_name,$params_ref,$i_type,$i_name,$i_num,$i_port, $wires)=@_;
463 16 alirezamon
        my $wire_string;
464 17 alirezamon
        my $new_range;
465 16 alirezamon
        if(length ($range)>1 ){
466
                #replace parameter in range
467 17 alirezamon
                $new_range = add_instantc_name_to_parameters($params_ref,$inst_name,$range);
468 16 alirezamon
                $wire_string= "\twire\t[ $new_range ] $port_name;\n";
469
        }
470
        else{
471
                $wire_string="\twire\t\t\t $port_name;\n";
472 17 alirezamon
        }
473
        $wires->wire_add("$port_name","range",$new_range);
474
        $wires->wire_add("$port_name","inst_name",$inst_name);
475
        $wires->wire_add("$port_name","i_type",$i_type);
476
        $wires->wire_add("$port_name","i_name",$i_name);
477
        $wires->wire_add("$port_name","i_num",$i_num);
478
        $wires->wire_add("$port_name","i_port",$i_port);
479
 
480 25 alirezamon
        return ($wire_string,$port_name);
481 16 alirezamon
}
482
 
483 17 alirezamon
sub port_width_repeat{
484
        my ($range,$value)=@_;
485 18 alirezamon
        return "$value" if (!defined $range);
486
        $range= remove_all_white_spaces($range);
487 17 alirezamon
        my ($h,$l)=split(':',$range);
488
        return "$value" if(!defined $h ) ; # port width is 1
489
        return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
490
        $h=$l if($h eq "0" && "$l" ne "0");
491
        if($h =~ /-1$/){ # the address ranged is endup with -1 
492
                $h =~ s/-1$//; # remove -1
493
                return "\{$h\{$value\}\}"  if($h =~ /\)$/);
494
                return "\{($h)\{$value\}\}" if($h =~ /[\*\.\+\-\^\%\&]/);
495
                return "\{$h\{$value\}\}";
496
        }
497
        return "\{($h+1){$value}}";
498
}
499 16 alirezamon
 
500 17 alirezamon
sub assign_unconnected_wires{
501
        my($wires,$intfc)=@_;
502
        my $unused_wire_v=undef;
503
 
504
        my @all_wires=$wires->wires_list();
505
        foreach my $p (@all_wires ){
506
                if(!defined $wires->wire_get($p,"connected")){ # unconnected wires
507
                        # Take default value from interface definition 
508
                        #$wires->wire_get("$p","inst_name");
509
                        my $i_type=$wires->wire_get($p,"i_type");
510
                        my $i_name= $wires->wire_get($p,"i_name");
511
                        my $i_num=$wires->wire_get($p,"i_num");
512
                        my $i_port=$wires->wire_get($p,"i_port");
513
                        my $new_range=$wires->wire_get($p,"range");
514
                        my ($range,$type,$connect,$default_out) = ($i_type eq "socket" )? $intfc->get_port_info_of_socket($i_name,$i_port):
515
                                                                                                                                                          $intfc->get_port_info_of_plug($i_name,$i_port);
516
                        #""Active high","Don't care"
517
 
518
                        my $default=(!defined $default_out                )? port_width_repeat($new_range,"1\'bx"):
519
                                                ($default_out eq 'Active low' )? port_width_repeat($new_range,"1\'b0"):
520
                                            ($default_out eq 'Active high')? port_width_repeat($new_range,"1\'b1"):
521
                                                ($default_out eq 'Don\'t care')? port_width_repeat($new_range,"1\'bx"): $default_out;
522
 
523
 
524 18 alirezamon
                        $unused_wire_v= (defined $unused_wire_v)? "$unused_wire_v \tassign ${p} = $default;\n" : "\tassign ${p} = $default;\n";
525 17 alirezamon
 
526
                }
527
 
528
        }
529
        $unused_wire_v="\n//Take the default value for ports that defined by interfaces but did not assigned to any wires.\n $unused_wire_v\n\n" if(defined $unused_wire_v);
530
        return $unused_wire_v;
531 16 alirezamon
 
532 17 alirezamon
 
533
}
534 16 alirezamon
 
535
 
536
 
537
 
538 25 alirezamon
sub gen_soc_instance_v{
539
        my ($soc,$soc_name,$param_pass_v)=@_;
540
        my $soc_v;
541
        my $processor_en=0;
542
 
543
        add_text_to_string(\$soc_v,"
544 16 alirezamon
 
545 25 alirezamon
// Allow software to remote reset/enable the cpu via jtag
546 16 alirezamon
 
547 25 alirezamon
        wire jtag_cpu_en, jtag_system_reset;
548 16 alirezamon
 
549 25 alirezamon
        jtag_system_en jtag_en (
550
                .cpu_en(jtag_cpu_en),
551
                .system_reset(jtag_system_reset)
552
 
553
        );
554
 
555
 
556 16 alirezamon
 
557 25 alirezamon
 
558
 
559
 
560
 
561
");
562
 
563
 
564
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
565
 
566
        my $top=$soc->soc_get_top();
567
        my @intfcs=$top->top_get_intfc_list();
568
 
569
        my $i=0;
570
 
571
 
572
 
573
 
574
        my $ss="";
575
        my $ww="";
576
 
577
foreach my $intfc (@intfcs){
578
 
579
 
580
 
581
                #reset
582
                if( $intfc eq 'plug:reset[0]'){
583
                        my @ports=$top->top_get_intfc_ports_list($intfc);
584
                        foreach my $p (@ports){
585
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
586
                                $mm="$mm," if ($i);
587
                                $mm="$mm\n\t\t.$p(${p}_ored_jtag)";
588
                                $ss="$ss\tassign ${p}_ored_jtag = (jtag_system_reset | $p);\n";
589
                                $ww="$ww\twire ${p}_ored_jtag;\n";
590
                                $i=1;
591
 
592
                        }
593
 
594
 
595
 
596
                }
597
                #enable
598
                elsif( $intfc eq 'plug:enable[0]'){
599
                        my @ports=$top->top_get_intfc_ports_list($intfc);
600
                        foreach my $p (@ports){
601
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
602
                                $mm="$mm," if ($i);
603
                                $mm="$mm\n\t\t.$p(${p}_anded_jtag)";
604
                                $ss="$ss\tassign ${p}_anded_jtag= (jtag_cpu_en & $p);\n";
605
                                $ww="$ww\twire ${p}_anded_jtag;\n";
606
                                $processor_en=1;
607
                                $i=1;
608
 
609
                        }
610
 
611
 
612
                }
613 38 alirezamon
                #RxD_sim
614
                elsif( $intfc eq 'socket:RxD_sim[0]'){
615
                        #This interface is for simulation only donot include it in top module
616
                        my @ports=$top->top_get_intfc_ports_list($intfc);
617
                        foreach my $p (@ports){
618
                                $mm="$mm," if ($i);
619
                                $mm="$mm\n\t\t.$p( )";
620
                                $i=1;
621
                        }
622
 
623
                }
624 25 alirezamon
                else {
625
                #other interface
626
                        my @ports=$top->top_get_intfc_ports_list($intfc);
627
                        foreach my $p (@ports){
628
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
629
                        $mm="$mm," if ($i);
630
                        $mm="$mm\n\t\t.$p($p)";
631
                        $i=1;
632
 
633
                        }
634
 
635
 
636
                }
637
 
638
 
639
        }
640
        $mm="$mm\n\t);";
641
        add_text_to_string(\$soc_v,"$ww\n");
642
        add_text_to_string(\$soc_v,"$mm\n");
643
        add_text_to_string(\$soc_v,"$ss\n");
644
        add_text_to_string(\$soc_v,"\n endmodule\n");
645
 
646
 
647
 
648
 
649
 
650
 
651
 
652
        return $soc_v;
653
 
654
}
655
 
656
 
657
 
658
 
659
 
660
 
661
 
662
 
663
 
664
 
665
 
666
 
667
sub gen_system_info {
668
        my ($soc,$param)=@_;
669
        my ($wb_slaves,$wb_masters,$other,$jtag);
670
        #my (@newbase,@newend,@connects);
671
 
672
 
673 28 alirezamon
   $jtag='';
674 25 alirezamon
 
675 28 alirezamon
        my @all_instances=$soc->soc_get_all_instances();
676 25 alirezamon
 
677 28 alirezamon
my %jtagwb; my %ram;
678
 
679
 
680 25 alirezamon
        foreach my $instance_id (@all_instances){
681 28 alirezamon
                my $category=$soc->soc_get_category($instance_id);
682
 
683 25 alirezamon
                my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
684
                foreach my $plug (@plugs){
685
                        my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
686
                        foreach my $num (@nums){
687
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
688 28 alirezamon
 
689 25 alirezamon
                                my $instance_name=$soc->soc_get_instance_name($instance_id);
690
                                my $connect_name=$soc->soc_get_instance_name($connect_id);
691
                                #get interfaces
692
                                if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
693
 
694
                                        $base=sprintf("0x%08x", $base);
695
                                        $end=sprintf("0x%08x", $end);
696 28 alirezamon
                                        add_text_to_string(\$wb_slaves, "\t$instance_name, $name, $connect_name, $base, $end\n");
697
                                        if ($category eq 'RAM') {
698
                                                $ram{$instance_id}{'base'}=$base;
699
                                                $ram{$instance_id}{'end'}=$end;
700
                                                $ram{$instance_id}{'connect'}=$connect_id;
701
                                        }
702 25 alirezamon
 
703
                                }#if
704
                                elsif((defined $connect_socket) && ($connect_socket eq 'wb_master')){
705
                                        add_text_to_string(\$wb_masters,"\t$instance_name, $name, $connect_name\n");
706
                                }
707
                                elsif(defined $connect_socket) {
708
                                        add_text_to_string(\$other,"\t$instance_name, $name, $connect_name\n");
709
 
710
                                }
711
                                # get jtag_wbs
712
                                if((defined $connect_socket) && ($connect_socket eq 'wb_master') && ($instance_id =~ /jtag_wb/)){
713
                                        my $index=$soc->soc_get_module_param_value($instance_id,'VJTAG_INDEX');
714 28 alirezamon
 
715 25 alirezamon
                                        add_text_to_string(\$jtag, "\t$instance_name,  $connect_name, $index\n");
716 28 alirezamon
                                        $jtagwb{$connect_id}{'index'}=$index;
717 25 alirezamon
 
718
                                }
719
 
720
 
721
                        }#foreach my $num
722
                }#foreach my $plug
723
        }#foreach my $instance_id
724
 
725 28 alirezamon
        #Generate memory programming command
726
my $prog='#!/bin/sh
727 25 alirezamon
 
728 38 alirezamon
#JTAG_INTFC="$PRONOC_WORK/toolchain/bin/JTAG_INTFC"
729
source ./jtag_intfc.sh
730 28 alirezamon
 
731
';
732
 
733
 
734
        foreach my $instance_id (@all_instances){
735
                my $category=$soc->soc_get_category($instance_id);
736
                if ($category eq 'RAM') {
737
 
738
                        my $jtag_connect=$soc->soc_get_module_param_value($instance_id,'JTAG_CONNECT');
739
                        my $aw=$soc->soc_get_module_param_value($instance_id,'Aw');
740
                        my $dw=$soc->soc_get_module_param_value($instance_id,'Dw');
741
                        my $JTAG_INDEX=$soc->soc_get_module_param_value($instance_id,'JTAG_INDEX');
742
 
743
                        #check if jtag_index is a parameter
744
                        my $v=$soc->soc_get_module_param_value($instance_id,$JTAG_INDEX);
745
                        $JTAG_INDEX = $v if (defined $v);
746
                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
747
                        $JTAG_INDEX = $v if (defined $v);
748
 
749 34 alirezamon
                        my $BINFILE=$soc->soc_get_module_param_value($instance_id,'JTAG_MEM_FILE');
750 28 alirezamon
                        ($BINFILE)=$BINFILE=~ /"([^"]*)"/ if(defined $BINFILE);
751 38 alirezamon
                        $BINFILE=(defined $BINFILE) ? "./RAM/".$BINFILE.'.bin' : './RAM/ram0.bin';
752 28 alirezamon
 
753
                        my $OFSSET="0x00000000";
754 34 alirezamon
                        my $end=((1 << $aw)*($dw/8))-1;
755 28 alirezamon
                        my $BOUNDRY=sprintf("0x%08x", $end);
756
                        if($jtag_connect =~ /JTAG_WB/){
757 38 alirezamon
                                $prog= "$prog \$JTAG_INTFC -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
758 28 alirezamon
                                #print "prog= $prog\n";
759
 
760
                        }elsif ($jtag_connect eq 'ALTERA_IMCE'){
761
                                #TODO add later
762
 
763
 
764
                        } else{
765
                                #disabled check if its connected to jtag_wb via the bus
766
                                my      $connect_id = $ram{$instance_id}{'connect'};
767
                                my $OFSSET = $ram{$instance_id}{'base'};
768
                                my $BOUNDRY = $ram{$instance_id}{'end'};
769
                                if(defined $connect_id){
770
                                        #print "id=$connect_id\n";
771
                                        my $JTAG_INDEX= $jtagwb{$connect_id}{'index'};
772
                                                if(defined $JTAG_INDEX){
773
                                                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
774
                                                        $JTAG_INDEX = $v if (defined $v);
775 38 alirezamon
                                                        $prog= "$prog \$JTAG_INTFC -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
776 28 alirezamon
                                                        #print "prog= $prog\n";
777
 
778
                                                }
779
 
780
                                }
781
                        }
782
 
783
 
784
                }
785
 
786
 
787
        }
788
 
789
 
790 25 alirezamon
my $lisence= get_license_header("readme");
791
my $warning=autogen_warning();
792
 
793
 
794
 
795
 
796
my $readme="
797
$warning
798
$lisence
799
 
800
***********************
801
**      Program the memories
802
***********************
803
 
804
If the memory core and jtag_wb are connected to the same wishbone bus, you can program the memory using
805
 
806
        sh program.sh
807
 
808
 
809
 
810
***************************
811
**      soc parameters
812
***************************
813
 
814
$param
815
 
816
****************************
817
**      wishbone bus(es)  info
818
****************************
819
        #slave interfaces:
820
        #instance name,  interface name, connected to, base address, boundray address
821
$wb_slaves
822
 
823
        #master interfaces:
824
        #instance name,  interface name, connected to
825
$wb_masters
826
 
827
****************************
828
**      Jtag to wishbone interface (jtag_wb) info:
829
****************************
830
 
831
        #instance name, instance name,  VJTAG_INDEX
832
$jtag
833
 
834
 
835
";
836
 
837 28 alirezamon
 
838
 
839
 
840
        return ($readme,$prog);
841 25 alirezamon
 
842
 
843
 
844
}
845
 
846
 
847
 
848
 
849
 
850
 
851
 
852
 
853
 
854
 
855
 
856 16 alirezamon
1;
857
 
858
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.