OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [verilog_gen.pl] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 alirezamon
use Glib qw/TRUE FALSE/;
2
#use Gtk2 '-init';
3
 
4
use lib 'lib/perl';
5
 
6
use strict;
7
use warnings;
8
use soc;
9
use ip;
10
use ip_gen;
11
use Cwd;
12
 
13
 
14
 
15
 
16
 
17
######################
18
#   soc_generate_verilog
19
#####################
20
 
21
sub soc_generate_verilog{
22 34 alirezamon
        my ($soc,$sw_path)= @_;
23 25 alirezamon
        my $soc_name=$soc->object_get_attribute('soc_name');
24 16 alirezamon
        #my $top_ip=ip_gen->ip_gen_new();
25
        my $top_ip=ip_gen->top_gen_new();
26
        if(!defined $soc_name){$soc_name='soc'};
27
 
28
        my @instances=$soc->soc_get_all_instances();
29
        my $io_sim_v;
30 38 alirezamon
        my $io_top_sim_v;
31 28 alirezamon
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
32
        $core_id= 0 if(!defined $core_id);
33 34 alirezamon
        my $param_as_in_v="\tparameter\tCORE_ID=$core_id,
34
\tparameter\tSW_LOC=\"$sw_path\"";
35 28 alirezamon
 
36
 
37
 
38
 
39 34 alirezamon
        my $param_pass_v="\t.CORE_ID(CORE_ID),\n\t.SW_LOC(SW_LOC)";
40 16 alirezamon
        my $body_v;
41
 
42 38 alirezamon
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all,$io_top_full_v_all);
43 17 alirezamon
        my $wires=soc->new_wires();
44
        my $intfc=interface->interface_new();
45 25 alirezamon
 
46 16 alirezamon
        foreach my $id (@instances){
47 38 alirezamon
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v)=gen_module_inst($id,$soc,\$io_sim_v,\$io_top_sim_v,\$param_as_in_v,$top_ip,$intfc,$wires,\$param_pass_v);
48 16 alirezamon
                my $inst        = $soc->soc_get_instance_name($id);
49
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
50
 
51
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
52
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
53
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
54
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
55
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
56
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(defined($io_full_v));
57 38 alirezamon
                add_text_to_string(\$io_top_full_v_all,"$io_top_full_v\n")                      if(defined($io_top_full_v));
58 16 alirezamon
 
59
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
60
 
61
        }
62 17 alirezamon
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
63 16 alirezamon
 
64
        #add functions
65
        my $dir = Cwd::getcwd();
66
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
67
        my $functions_all='';
68
        while (my $f1 = readline ($file1)) {
69
                 $functions_all="$functions_all $f1 ";
70
        }
71
        close($file1);
72 17 alirezamon
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
73
 
74 16 alirezamon
 
75
        my $soc_v = (defined $param_as_in_v )? "module $soc_name #(\n $param_as_in_v\n)(\n$io_sim_v\n);\n": "module $soc_name (\n$io_sim_v\n);\n";
76
        add_text_to_string(\$soc_v,$functions_all);
77
        add_text_to_string(\$soc_v,$local_param_v_all);
78
        add_text_to_string(\$soc_v,$addr_localparam);
79
        add_text_to_string(\$soc_v,$module_addr_localparam);
80
        add_text_to_string(\$soc_v,$io_full_v_all);
81
        add_text_to_string(\$soc_v,$wire_def_v_all);
82 17 alirezamon
        add_text_to_string(\$soc_v,$unused_wiers_v);
83 16 alirezamon
        add_text_to_string(\$soc_v,$inst_v_all);
84
        add_text_to_string(\$soc_v,$plugs_assign_v_all);
85
        add_text_to_string(\$soc_v,$sockets_assign_v_all);
86
        add_text_to_string(\$soc_v,$addr_map);
87
        add_text_to_string(\$soc_v,"endmodule\n\n");
88
 
89
 
90 34 alirezamon
        $soc->object_add_attribute('top_ip',undef,$top_ip);
91 17 alirezamon
        #print @assigned_wires;
92 16 alirezamon
 
93 25 alirezamon
        #generate topmodule
94
 
95 38 alirezamon
        my $top_v = (defined $param_as_in_v )? "module ${soc_name}_top #(\n $param_as_in_v\n)(\n$io_top_sim_v\n);\n": "module ${soc_name}_top (\n $io_top_sim_v\n);\n";
96 25 alirezamon
        my $ins= gen_soc_instance_v($soc,$soc_name,$param_pass_v);
97 34 alirezamon
        add_text_to_string(\$top_v,$functions_all);
98 38 alirezamon
        add_text_to_string(\$top_v,$local_param_v_all."\n".$io_top_full_v_all);
99 25 alirezamon
        add_text_to_string(\$top_v,$ins);
100 28 alirezamon
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v);
101
        return ("$soc_v",$top_v,$readme,$prog);
102 25 alirezamon
 
103
 
104 16 alirezamon
}
105
 
106
#################
107
#       gen_module_inst
108
###############
109
 
110
sub gen_module_inst {
111 38 alirezamon
        my ($id,$soc,$io_sim_v,$io_top_sim_v,$param_as_in_v,$top_ip, $intfc,$wires,$param_pass_v)=@_;
112 16 alirezamon
        my $module      =$soc->soc_get_module($id);
113
        my $module_name =$soc->soc_get_module_name($id);
114
        my $category    =$soc->soc_get_category($id);
115
 
116
        my $inst        = $soc->soc_get_instance_name($id);
117
        my %params      = $soc->soc_get_module_param($id);
118 17 alirezamon
 
119 16 alirezamon
        my $ip = ip->lib_new ();
120
 
121
        my @ports=$ip->ip_list_ports($category,$module);
122 38 alirezamon
        my ($inst_v,$intfc_v,$plugs_assign_v,$sockets_assign_v,$io_full_v,$io_top_full_v);
123 25 alirezamon
        my $wire_def_v="";
124 16 alirezamon
        $plugs_assign_v="\n";
125
 
126
        my $counter=0;
127
        my @param_order=$soc->soc_get_instance_param_order($id);
128
 
129 25 alirezamon
        my ($param_v,$local_param_v,$instance_param_v)= gen_parameter_v(\%params,$id,$inst,$category,$module,$ip,$param_as_in_v,\@param_order,$top_ip,$param_pass_v);
130 16 alirezamon
 
131
 
132 25 alirezamon
 
133 16 alirezamon
        $top_ip->top_add_def_to_instance($id,'module',$module);
134
        $top_ip->top_add_def_to_instance($id,'module_name',$module_name);
135
        $top_ip->top_add_def_to_instance($id,'category',$category);
136
        $top_ip->top_add_def_to_instance($id,'instance',$inst);
137
 
138
 
139
 
140
 
141
 
142
 
143
        #module name    
144 25 alirezamon
        $inst_v=( defined $instance_param_v )? "$module_name #(\n": $module_name ;
145 16 alirezamon
 
146
 
147
 
148
        #module parameters
149 25 alirezamon
        $inst_v=( defined $instance_param_v)? "$inst_v $instance_param_v\n\t)": $inst_v;
150 16 alirezamon
        #module instance name 
151
        $inst_v="$inst_v  $inst \t(\n";
152
 
153
        #module ports
154
        $counter=0;
155
        foreach my $port (@ports){
156
                my ($type,$range,$intfc_name,$i_port)=$ip->ip_get_port($category,$module,$port);
157
                my $assigned_port;
158
                my($i_type,$i_name,$i_num) =split("[:\[ \\]]", $intfc_name);
159
                my $IO='no';
160
                my $NC='no';
161
                if($i_type eq 'plug'){
162
                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
163
                        if($connect_id eq 'IO'){ $IO='yes';}
164
                        if($connect_id eq 'NC'){ $NC='yes';}
165
                }
166 38 alirezamon
                if($i_type eq 'socket' && $i_name ne'wb_addr_map'){
167 16 alirezamon
 
168
                        my ($ref1,$ref2)= $soc->soc_get_modules_plug_connected_to_socket($id,$i_name,$i_num);
169
                        my %connected_plugs=%$ref1;
170
                        my %connected_plug_nums=%$ref2;
171
                        if(!%connected_plugs ){
172
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
173
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
174 42 alirezamon
                                if ( length( $v || '' )){ $IO='no';} else {
175
                                        my $con= $soc->object_get_attribute("Unset-intfc" ,"$inst-$port");
176
                                        if(!defined $con){ $IO='yes';}
177
                                        else{
178
                                                $IO='yes' if $con eq 'IO';
179
                                        }
180
                                }
181 16 alirezamon
                        }
182
                }
183 38 alirezamon
 
184 16 alirezamon
                if($NC eq 'yes'){
185
 
186
 
187
                }
188
                elsif($IO eq 'yes' || !defined $i_type || !defined $i_name || !defined $i_num){ #its an IO port
189 25 alirezamon
                        if($i_port eq 'NC'){
190
                                $NC='yes';
191
                        }else {
192
                                 $assigned_port="$inst\_$port";
193
                                 $$io_sim_v= (!defined $$io_sim_v)? "\t$assigned_port" : "$$io_sim_v, \n\t$assigned_port";
194 38 alirezamon
                                 $$io_top_sim_v= (!defined $$io_top_sim_v)? "\t$assigned_port" : "$$io_top_sim_v, \n\t$assigned_port" if ($i_name ne 'RxD_sim');
195 25 alirezamon
                                 my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
196
                                 my $port_def=(length ($range)>1 )?     "\t$type\t [ $new_range    ] $assigned_port;\n": "\t$type\t\t\t$assigned_port;\n";
197
                                 add_text_to_string(\$io_full_v,$port_def);
198 38 alirezamon
                                 add_text_to_string(\$io_top_full_v,$port_def) if ($i_name ne 'RxD_sim');
199 25 alirezamon
                                # $top_ip->ipgen_add_port($assigned_port, $new_range, $type ,$intfc_name,$i_port);
200
                                $top_ip->top_add_port($id,$assigned_port, $new_range, $type ,$intfc_name,$i_port);
201
                        }
202 16 alirezamon
 
203
 
204
                }
205
                else{ # port connected internally using interface 
206
                         $assigned_port="$inst\_$i_type\_$i_name\_$i_num\_$i_port";
207
 
208
                         #create plug wires
209 25 alirezamon
                         my ($wire_string,$port_name)=generate_wire ($range,$assigned_port,$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
210
                         #add wire def if it is not defined before
211
 
212
                         add_text_to_string(\$wire_def_v,$wire_string)  if ($wire_def_v !~ /[\s\]]$port_name;/);
213 16 alirezamon
 
214
 
215
 
216
                        if($i_type eq 'plug'){
217
                                #read socket port name
218
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
219
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_plug($i_name,$i_port);
220
                                #my $connect_port= "socket_$i_name\_$i_num\_$i_connect";
221
                                if(defined $connect_socket_num){
222
                                        my $connect_n=$soc->soc_get_instance_name($connect_id);
223
                                        my $connect_port= "$connect_n\_socket_$i_name\_$connect_socket_num\_$i_connect";
224
                                        #connect plug port to socket port
225
                                        my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
226
                                        my $connect_port_range=(length($new_range)>1)?"$connect_port\[$new_range\]":$connect_port;
227 17 alirezamon
 
228
                                        if($type eq 'input' ){
229
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $assigned_port = $connect_port_range;\n";
230
                                                $wires->wire_add($assigned_port,"connected",1);
231
 
232
                                        }else{
233
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $connect_port  = $assigned_port;\n";
234
                                                $wires->wire_add($connect_port,"connected",1);
235
                                        }
236
 
237
 
238 16 alirezamon
                                }
239
                        }#plug
240
                        else{ #socket
241
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
242
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
243
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_socket($i_name,$i_port);
244
                                if ( length( $v || '' )) {
245
                                                $v--;
246
                                                my $name= $soc->soc_get_instance_name($id);
247
                                                my $joint= "$name\_$i_type\_$i_name\_$v\_$i_port";
248
 
249 25 alirezamon
                                                my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$v\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
250
                                                add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
251 16 alirezamon
 
252
                                                for(my $i=$v-1; $i>=0; $i--) {
253
                                                        $joint= "$joint ,$name\_$i_type\_$i_name\_$i\_$i_port";
254
                                                        #create socket wires
255
                                                         #create plug wires
256 25 alirezamon
                                                        my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$i\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
257
                                                        add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
258 16 alirezamon
 
259
 
260
 
261
 
262
 
263
 
264
                                                }
265 17 alirezamon
                                                $wires->wire_add($assigned_port,"connected",1)  if($type eq 'input');
266
                                                if($type ne 'input' ){
267
                                                        my @w=split('\s*,\s*',$joint);
268
                                                        foreach my $q (@w) {
269
                                                                $wires->wire_add($q,"connected",1);
270
                                                        }
271
 
272
                                                }
273
                                                $joint=($v>0)? "\{ $joint\ }" : "$joint";
274
                                                my $text=($type eq 'input' )? "\tassign $assigned_port = $joint;\n": "\tassign $joint = $assigned_port;\n";
275
 
276 16 alirezamon
                                                add_text_to_string(\$sockets_assign_v,$text);
277
                                }
278
 
279
 
280
 
281
                        }#socket        
282
 
283
 
284
                }
285
 
286
 
287
 
288 17 alirezamon
                if (++$counter == scalar(@ports)){#last port def
289 16 alirezamon
 
290
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port()\n": "$inst_v\t\t.$port($assigned_port)\n";
291
 
292
                }
293
                else {
294
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port(),\n":"$inst_v\t\t.$port($assigned_port),\n";
295
                }
296
 
297 17 alirezamon
                if($type ne 'input' && $NC ne 'yes' ){
298
                        $wires->wire_add($assigned_port,"connected",1);
299
 
300
                }
301 16 alirezamon
 
302
 
303
 
304
        }
305
        $inst_v="$inst_v\t);\n";
306
 
307 17 alirezamon
 
308
 
309
 
310 38 alirezamon
        return ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v,$param_pass_v);
311 16 alirezamon
 
312
 
313
}
314
 
315
 
316
sub add_instantc_name_to_parameters{
317
        my ($params_ref,$inst,$range)=@_;
318
        my $new_range=$range;
319
        #print "$new_range\n";
320 38 alirezamon
        return $new_range if(!defined $range);
321 16 alirezamon
        my @list=sort keys%{$params_ref};
322
        foreach my $param (@list){
323
                my $new_param= "$inst\_$param";
324
                ($new_range=$new_range)=~ s/\b$param\b/$new_param/g;
325
                #print "$new_range= s/\b$param\b/$new_param/g\n";
326
        }
327
                return $new_range;
328
}
329
 
330
 
331
sub gen_parameter_v{
332 25 alirezamon
        my ($param_ref,$id,$inst,$category,$module,$ip,$param_as_in_v,$ref_ordered,$top_ip,$param_pass_v)=@_;
333 16 alirezamon
        my %params=%$param_ref;
334
        my @param_order;
335
        @param_order=@{$ref_ordered} if(defined $ref_ordered);
336
 
337
        my ($param_v,$local_param_v,$instance_param_v);
338
        my @list;
339
        @list= (@param_order)? @param_order :
340
sort keys%params;
341
        my $first_param=1;
342 25 alirezamon
 
343 16 alirezamon
        $local_param_v="";
344
        $param_v="";
345 25 alirezamon
 
346 16 alirezamon
        #add instance name to parameter value
347
        foreach my $param (@list){
348
                $params{$param}=add_instantc_name_to_parameters(\%params,$inst,$params{$param});
349
 
350
        }
351
 
352
 
353
        #print parameters
354
        foreach my $param (@list){
355
                my $inst_param= "$inst\_$param";
356 38 alirezamon
                my ($default,$type,$content,$info,$vfile_param_type,$redefine_param)= $ip->ip_get_parameter($category,$module,$param);
357 25 alirezamon
                $vfile_param_type= "Don't include" if (!defined $vfile_param_type );
358
                $vfile_param_type= "Parameter"  if ($vfile_param_type eq 1);
359
                $vfile_param_type= "Localparam" if ($vfile_param_type eq 0);
360
                $redefine_param=1 if (! defined $redefine_param);
361
                $redefine_param=0 if ($vfile_param_type eq "Don't include");
362
                if($redefine_param eq 1){
363
                        $instance_param_v=($first_param eq 1)? "\t\t.$param($inst_param)" : "$instance_param_v,\n\t\t.$param($inst_param)";
364
                        $first_param=0;
365 16 alirezamon
 
366
                }
367
 
368
 
369 25 alirezamon
 
370
                if($vfile_param_type eq "Localparam"){
371 16 alirezamon
                        $local_param_v="$local_param_v\tlocalparam\t$inst_param=$params{$param};\n";
372 42 alirezamon
                        $top_ip->top_add_localparam($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
373 25 alirezamon
                }
374
                elsif($vfile_param_type eq "Parameter"){
375 16 alirezamon
                        $param_v="$param_v\tparameter\t$inst_param=$params{$param};\n";
376 25 alirezamon
                        $$param_pass_v =(defined ($$param_pass_v ))? "$$param_pass_v,\n\t.$inst_param($inst_param)": "\t.$inst_param($inst_param)";
377 16 alirezamon
                        $$param_as_in_v=(defined ($$param_as_in_v))? "$$param_as_in_v ,\n\tparameter\t$inst_param=$params{$param}":
378
                                                                                                                 "   \tparameter\t$inst_param=$params{$param}";
379
                        #add parameter to top 
380
                        #$top_ip  $inst_param                   
381 25 alirezamon
                        $top_ip->top_add_parameter($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
382 16 alirezamon
 
383
                }
384
 
385
 
386
 
387
        }
388
 
389
        return ($param_v,$local_param_v,$instance_param_v);
390
 
391
 
392
}
393
 
394
###############
395
#       generate_address_cmp
396
##############
397
 
398
sub generate_address_cmp{
399 17 alirezamon
        my ($soc,$wires)=@_;
400 16 alirezamon
        my $number=0;
401
        my $addr_mp_v="\n//Wishbone slave address match\n";
402
        my $instance_addr_localparam="\n//Wishbone slave base address based on instance name\n";
403
        my $module_addr_localparam="\n//Wishbone slave base address based on module name. \n";
404
 
405
        my @all_instances=$soc->soc_get_all_instances();
406
        foreach my $instance_id (@all_instances){
407
                my $instance_name=$soc->soc_get_instance_name($instance_id);
408
                        my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
409
                        foreach my $plug (@plugs){
410 25 alirezamon
                                my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
411 16 alirezamon
                                foreach my $num (@nums){
412
                                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
413
                                        if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
414 25 alirezamon
                                                #read wishbone bus address and data width size                                          
415
                                                my $Aw=$soc->soc_get_module_param_value ($connect_id,'Aw');
416
                                                my $Dw=$soc->soc_get_module_param_value ($connect_id,'Dw');
417
                                                $Aw=32 if (!defined $Aw);
418
                                                $Dw=32 if (!defined $Dw);
419 16 alirezamon
                                                add_text_to_string(\$addr_mp_v,"/* $instance_name wb_slave $num */\n");
420 25 alirezamon
                                                #count how many nibbles we have in address size 
421
                                                my $hh= ($Aw % 4)? ($Aw >> 2)+1 : ($Aw >> 2);
422
                                                $hh= "'h%0${hh}x";#hex address nibble num
423
                                                #change addresses to word as the assign addresses by ProNoC GUI are in bytes  
424
                                                my $bytenum=($Dw/8);
425
                                                my $base_hex=$Aw.sprintf($hh, ($base/$bytenum));
426
                                                my $end_hex=$Aw.sprintf($hh, ($end/$bytenum));
427
                                                #my $base_hex=sprintf("32'h%08x", ($base>>2));
428
                                                #my $end_hex=sprintf("32'h%08x", ($end>>2));
429
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
430
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_END_ADDR\t=\t$end_hex;\n");
431 16 alirezamon
                                                if($instance_name ne $instance_id){
432 25 alirezamon
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
433
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_END_ADDR\t=\t$end_hex;\n");
434 16 alirezamon
                                                }
435
 
436 17 alirezamon
                                                my $connect_name=$soc->soc_get_instance_name($connect_id);
437
                                                $wires->wire_add("$connect_name\_socket_wb_addr_map_0_sel_one_hot","connected",1);
438 25 alirezamon
                                                $addr_mp_v="$addr_mp_v \tassign $connect_name\_socket_wb_addr_map_0_sel_one_hot[$connect_socket_num\] = (($connect_name\_socket_wb_addr_map_0_grant_addr >= $instance_name\_WB$num\_BASE_ADDR)   & ($connect_name\_socket_wb_addr_map_0_grant_addr <= $instance_name\_WB$num\_END_ADDR));\n";
439 16 alirezamon
 
440
                                                $number++;
441
                                        }#if
442
                                }#foreach my $num
443
                        }#foreach my $plug
444
                }#foreach my $instance_id
445
 
446
                add_text_to_string(\$instance_addr_localparam,"\n");
447
                add_text_to_string(\$module_addr_localparam,"\n");
448
                return ($addr_mp_v,$instance_addr_localparam,$module_addr_localparam);
449
}
450
 
451
 
452
 
453
 
454
 
455
 
456
 
457
 
458
 
459
sub add_text_to_string{
460
                my ($string,$text)=@_;
461
                if(defined $text){
462
                        $$string=(defined ($$string))? "$$string $text" : $text;
463
                }
464
}
465
 
466
 
467
 
468
sub generate_wire {
469 17 alirezamon
        my($range,$port_name,$inst_name,$params_ref,$i_type,$i_name,$i_num,$i_port, $wires)=@_;
470 16 alirezamon
        my $wire_string;
471 17 alirezamon
        my $new_range;
472 16 alirezamon
        if(length ($range)>1 ){
473
                #replace parameter in range
474 17 alirezamon
                $new_range = add_instantc_name_to_parameters($params_ref,$inst_name,$range);
475 16 alirezamon
                $wire_string= "\twire\t[ $new_range ] $port_name;\n";
476
        }
477
        else{
478
                $wire_string="\twire\t\t\t $port_name;\n";
479 17 alirezamon
        }
480
        $wires->wire_add("$port_name","range",$new_range);
481
        $wires->wire_add("$port_name","inst_name",$inst_name);
482
        $wires->wire_add("$port_name","i_type",$i_type);
483
        $wires->wire_add("$port_name","i_name",$i_name);
484
        $wires->wire_add("$port_name","i_num",$i_num);
485
        $wires->wire_add("$port_name","i_port",$i_port);
486
 
487 25 alirezamon
        return ($wire_string,$port_name);
488 16 alirezamon
}
489
 
490 17 alirezamon
sub port_width_repeat{
491
        my ($range,$value)=@_;
492 18 alirezamon
        return "$value" if (!defined $range);
493
        $range= remove_all_white_spaces($range);
494 17 alirezamon
        my ($h,$l)=split(':',$range);
495
        return "$value" if(!defined $h ) ; # port width is 1
496
        return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
497
        $h=$l if($h eq "0" && "$l" ne "0");
498
        if($h =~ /-1$/){ # the address ranged is endup with -1 
499
                $h =~ s/-1$//; # remove -1
500
                return "\{$h\{$value\}\}"  if($h =~ /\)$/);
501
                return "\{($h)\{$value\}\}" if($h =~ /[\*\.\+\-\^\%\&]/);
502
                return "\{$h\{$value\}\}";
503
        }
504
        return "\{($h+1){$value}}";
505
}
506 16 alirezamon
 
507 17 alirezamon
sub assign_unconnected_wires{
508
        my($wires,$intfc)=@_;
509
        my $unused_wire_v=undef;
510
 
511
        my @all_wires=$wires->wires_list();
512
        foreach my $p (@all_wires ){
513
                if(!defined $wires->wire_get($p,"connected")){ # unconnected wires
514
                        # Take default value from interface definition 
515
                        #$wires->wire_get("$p","inst_name");
516
                        my $i_type=$wires->wire_get($p,"i_type");
517
                        my $i_name= $wires->wire_get($p,"i_name");
518
                        my $i_num=$wires->wire_get($p,"i_num");
519
                        my $i_port=$wires->wire_get($p,"i_port");
520
                        my $new_range=$wires->wire_get($p,"range");
521
                        my ($range,$type,$connect,$default_out) = ($i_type eq "socket" )? $intfc->get_port_info_of_socket($i_name,$i_port):
522
                                                                                                                                                          $intfc->get_port_info_of_plug($i_name,$i_port);
523
                        #""Active high","Don't care"
524
 
525
                        my $default=(!defined $default_out                )? port_width_repeat($new_range,"1\'bx"):
526
                                                ($default_out eq 'Active low' )? port_width_repeat($new_range,"1\'b0"):
527
                                            ($default_out eq 'Active high')? port_width_repeat($new_range,"1\'b1"):
528
                                                ($default_out eq 'Don\'t care')? port_width_repeat($new_range,"1\'bx"): $default_out;
529
 
530
 
531 18 alirezamon
                        $unused_wire_v= (defined $unused_wire_v)? "$unused_wire_v \tassign ${p} = $default;\n" : "\tassign ${p} = $default;\n";
532 17 alirezamon
 
533
                }
534
 
535
        }
536
        $unused_wire_v="\n//Take the default value for ports that defined by interfaces but did not assigned to any wires.\n $unused_wire_v\n\n" if(defined $unused_wire_v);
537
        return $unused_wire_v;
538 16 alirezamon
 
539 17 alirezamon
 
540
}
541 16 alirezamon
 
542
 
543
 
544
 
545 25 alirezamon
sub gen_soc_instance_v{
546
        my ($soc,$soc_name,$param_pass_v)=@_;
547
        my $soc_v;
548
        my $processor_en=0;
549
 
550
        add_text_to_string(\$soc_v,"
551 16 alirezamon
 
552 25 alirezamon
// Allow software to remote reset/enable the cpu via jtag
553 16 alirezamon
 
554 25 alirezamon
        wire jtag_cpu_en, jtag_system_reset;
555 16 alirezamon
 
556 25 alirezamon
        jtag_system_en jtag_en (
557
                .cpu_en(jtag_cpu_en),
558
                .system_reset(jtag_system_reset)
559
 
560
        );
561
 
562
 
563 16 alirezamon
 
564 25 alirezamon
 
565
 
566
 
567
 
568
");
569
 
570
 
571
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
572
 
573
        my $top=$soc->soc_get_top();
574
        my @intfcs=$top->top_get_intfc_list();
575
 
576
        my $i=0;
577
 
578
 
579
 
580
 
581
        my $ss="";
582
        my $ww="";
583
 
584
foreach my $intfc (@intfcs){
585
 
586
 
587
 
588
                #reset
589
                if( $intfc eq 'plug:reset[0]'){
590
                        my @ports=$top->top_get_intfc_ports_list($intfc);
591
                        foreach my $p (@ports){
592
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
593
                                $mm="$mm," if ($i);
594
                                $mm="$mm\n\t\t.$p(${p}_ored_jtag)";
595
                                $ss="$ss\tassign ${p}_ored_jtag = (jtag_system_reset | $p);\n";
596
                                $ww="$ww\twire ${p}_ored_jtag;\n";
597
                                $i=1;
598
 
599
                        }
600
 
601
 
602
 
603
                }
604
                #enable
605
                elsif( $intfc eq 'plug:enable[0]'){
606
                        my @ports=$top->top_get_intfc_ports_list($intfc);
607
                        foreach my $p (@ports){
608
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
609
                                $mm="$mm," if ($i);
610
                                $mm="$mm\n\t\t.$p(${p}_anded_jtag)";
611
                                $ss="$ss\tassign ${p}_anded_jtag= (jtag_cpu_en & $p);\n";
612
                                $ww="$ww\twire ${p}_anded_jtag;\n";
613
                                $processor_en=1;
614
                                $i=1;
615
 
616
                        }
617
 
618
 
619
                }
620 38 alirezamon
                #RxD_sim
621
                elsif( $intfc eq 'socket:RxD_sim[0]'){
622
                        #This interface is for simulation only donot include it in top module
623
                        my @ports=$top->top_get_intfc_ports_list($intfc);
624
                        foreach my $p (@ports){
625
                                $mm="$mm," if ($i);
626
                                $mm="$mm\n\t\t.$p( )";
627
                                $i=1;
628
                        }
629
 
630
                }
631 25 alirezamon
                else {
632
                #other interface
633
                        my @ports=$top->top_get_intfc_ports_list($intfc);
634
                        foreach my $p (@ports){
635
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
636
                        $mm="$mm," if ($i);
637
                        $mm="$mm\n\t\t.$p($p)";
638
                        $i=1;
639
 
640
                        }
641
 
642
 
643
                }
644
 
645
 
646
        }
647
        $mm="$mm\n\t);";
648
        add_text_to_string(\$soc_v,"$ww\n");
649
        add_text_to_string(\$soc_v,"$mm\n");
650
        add_text_to_string(\$soc_v,"$ss\n");
651
        add_text_to_string(\$soc_v,"\n endmodule\n");
652
 
653
 
654
 
655
 
656
 
657
 
658
 
659
        return $soc_v;
660
 
661
}
662
 
663
 
664
 
665
 
666
 
667 42 alirezamon
sub gen_soc_instance_v_no_modfy{
668
        my ($soc,$soc_name,$param_pass_v)=@_;
669
        my $soc_v;
670
        my $processor_en=0;
671
 
672 25 alirezamon
 
673 42 alirezamon
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
674 25 alirezamon
 
675 42 alirezamon
        my $top=$soc->soc_get_top();
676
        my @intfcs=$top->top_get_intfc_list();
677
 
678
        my $i=0;
679 25 alirezamon
 
680 42 alirezamon
 
681
 
682
 
683
        my $ss="";
684
        my $ww="";
685
 
686
foreach my $intfc (@intfcs){
687
 
688
 
689
 
690
 
691
 
692
                        my @ports=$top->top_get_intfc_ports_list($intfc);
693
                        foreach my $p (@ports){
694
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
695
                        $mm="$mm," if ($i);
696
                        $mm="$mm\n\t\t.$p($p)";
697
                        $i=1;
698
 
699
 
700
                }
701
 
702
 
703
        }
704
        $mm="$mm\n\t);";
705
        add_text_to_string(\$soc_v,"$ww\n");
706
        add_text_to_string(\$soc_v,"$mm\n");
707
        add_text_to_string(\$soc_v,"$ss\n");
708
        add_text_to_string(\$soc_v,"\n endmodule\n");
709
 
710
 
711
 
712
        return $soc_v;
713 25 alirezamon
 
714 42 alirezamon
}
715 25 alirezamon
 
716
 
717
 
718 42 alirezamon
 
719
 
720
 
721
 
722
 
723 25 alirezamon
sub gen_system_info {
724
        my ($soc,$param)=@_;
725
        my ($wb_slaves,$wb_masters,$other,$jtag);
726
        #my (@newbase,@newend,@connects);
727
 
728
 
729 28 alirezamon
   $jtag='';
730 25 alirezamon
 
731 28 alirezamon
        my @all_instances=$soc->soc_get_all_instances();
732 25 alirezamon
 
733 28 alirezamon
my %jtagwb; my %ram;
734
 
735
 
736 25 alirezamon
        foreach my $instance_id (@all_instances){
737 28 alirezamon
                my $category=$soc->soc_get_category($instance_id);
738
 
739 25 alirezamon
                my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
740
                foreach my $plug (@plugs){
741
                        my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
742
                        foreach my $num (@nums){
743
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
744 28 alirezamon
 
745 25 alirezamon
                                my $instance_name=$soc->soc_get_instance_name($instance_id);
746
                                my $connect_name=$soc->soc_get_instance_name($connect_id);
747
                                #get interfaces
748
                                if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
749
 
750
                                        $base=sprintf("0x%08x", $base);
751
                                        $end=sprintf("0x%08x", $end);
752 28 alirezamon
                                        add_text_to_string(\$wb_slaves, "\t$instance_name, $name, $connect_name, $base, $end\n");
753
                                        if ($category eq 'RAM') {
754
                                                $ram{$instance_id}{'base'}=$base;
755
                                                $ram{$instance_id}{'end'}=$end;
756
                                                $ram{$instance_id}{'connect'}=$connect_id;
757
                                        }
758 25 alirezamon
 
759
                                }#if
760
                                elsif((defined $connect_socket) && ($connect_socket eq 'wb_master')){
761
                                        add_text_to_string(\$wb_masters,"\t$instance_name, $name, $connect_name\n");
762
                                }
763
                                elsif(defined $connect_socket) {
764
                                        add_text_to_string(\$other,"\t$instance_name, $name, $connect_name\n");
765
 
766
                                }
767
                                # get jtag_wbs
768
                                if((defined $connect_socket) && ($connect_socket eq 'wb_master') && ($instance_id =~ /jtag_wb/)){
769
                                        my $index=$soc->soc_get_module_param_value($instance_id,'VJTAG_INDEX');
770 28 alirezamon
 
771 25 alirezamon
                                        add_text_to_string(\$jtag, "\t$instance_name,  $connect_name, $index\n");
772 28 alirezamon
                                        $jtagwb{$connect_id}{'index'}=$index;
773 25 alirezamon
 
774
                                }
775
 
776
 
777
                        }#foreach my $num
778
                }#foreach my $plug
779
        }#foreach my $instance_id
780
 
781 28 alirezamon
        #Generate memory programming command
782
my $prog='#!/bin/sh
783 25 alirezamon
 
784 38 alirezamon
#JTAG_INTFC="$PRONOC_WORK/toolchain/bin/JTAG_INTFC"
785
source ./jtag_intfc.sh
786 28 alirezamon
 
787
';
788
 
789
 
790
        foreach my $instance_id (@all_instances){
791
                my $category=$soc->soc_get_category($instance_id);
792
                if ($category eq 'RAM') {
793
 
794
                        my $jtag_connect=$soc->soc_get_module_param_value($instance_id,'JTAG_CONNECT');
795
                        my $aw=$soc->soc_get_module_param_value($instance_id,'Aw');
796
                        my $dw=$soc->soc_get_module_param_value($instance_id,'Dw');
797
                        my $JTAG_INDEX=$soc->soc_get_module_param_value($instance_id,'JTAG_INDEX');
798
 
799
                        #check if jtag_index is a parameter
800
                        my $v=$soc->soc_get_module_param_value($instance_id,$JTAG_INDEX);
801
                        $JTAG_INDEX = $v if (defined $v);
802
                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
803
                        $JTAG_INDEX = $v if (defined $v);
804
 
805 34 alirezamon
                        my $BINFILE=$soc->soc_get_module_param_value($instance_id,'JTAG_MEM_FILE');
806 28 alirezamon
                        ($BINFILE)=$BINFILE=~ /"([^"]*)"/ if(defined $BINFILE);
807 38 alirezamon
                        $BINFILE=(defined $BINFILE) ? "./RAM/".$BINFILE.'.bin' : './RAM/ram0.bin';
808 28 alirezamon
 
809
                        my $OFSSET="0x00000000";
810 34 alirezamon
                        my $end=((1 << $aw)*($dw/8))-1;
811 28 alirezamon
                        my $BOUNDRY=sprintf("0x%08x", $end);
812
                        if($jtag_connect =~ /JTAG_WB/){
813 38 alirezamon
                                $prog= "$prog \$JTAG_INTFC -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
814 28 alirezamon
                                #print "prog= $prog\n";
815
 
816
                        }elsif ($jtag_connect eq 'ALTERA_IMCE'){
817
                                #TODO add later
818 42 alirezamon
                                $prog= "$prog echo \"ALTERA_IMCE runtime programming is not supported yet for programming  $instance_id\"\n";
819 28 alirezamon
 
820
                        } else{
821
                                #disabled check if its connected to jtag_wb via the bus
822
                                my      $connect_id = $ram{$instance_id}{'connect'};
823
                                my $OFSSET = $ram{$instance_id}{'base'};
824
                                my $BOUNDRY = $ram{$instance_id}{'end'};
825
                                if(defined $connect_id){
826
                                        #print "id=$connect_id\n";
827
                                        my $JTAG_INDEX= $jtagwb{$connect_id}{'index'};
828
                                                if(defined $JTAG_INDEX){
829
                                                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
830
                                                        $JTAG_INDEX = $v if (defined $v);
831 38 alirezamon
                                                        $prog= "$prog \$JTAG_INTFC -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
832 28 alirezamon
                                                        #print "prog= $prog\n";
833
 
834 42 alirezamon
                                                }else{
835
                                        $prog= "$prog echo \"JTAG runtime programming is not enabled in  $instance_id\"\n";
836 28 alirezamon
 
837
                                }
838 42 alirezamon
 
839
                                }else{
840
                                        $prog= "$prog echo \"JTAG runtime programming is not enabled in  $instance_id\"\n";
841
 
842
                                }
843 28 alirezamon
                        }
844
 
845
 
846
                }
847
 
848
 
849
        }
850
 
851
 
852 25 alirezamon
my $lisence= get_license_header("readme");
853
my $warning=autogen_warning();
854
 
855
 
856
 
857
 
858
my $readme="
859
$warning
860
$lisence
861
 
862
***********************
863
**      Program the memories
864
***********************
865
 
866
If the memory core and jtag_wb are connected to the same wishbone bus, you can program the memory using
867
 
868
        sh program.sh
869
 
870
 
871
 
872
***************************
873
**      soc parameters
874
***************************
875
 
876
$param
877
 
878
****************************
879
**      wishbone bus(es)  info
880
****************************
881
        #slave interfaces:
882
        #instance name,  interface name, connected to, base address, boundray address
883
$wb_slaves
884
 
885
        #master interfaces:
886
        #instance name,  interface name, connected to
887
$wb_masters
888
 
889
****************************
890
**      Jtag to wishbone interface (jtag_wb) info:
891
****************************
892
 
893
        #instance name, instance name,  VJTAG_INDEX
894
$jtag
895
 
896
 
897
";
898
 
899 28 alirezamon
 
900
 
901
 
902
        return ($readme,$prog);
903 25 alirezamon
 
904
 
905
 
906
}
907
 
908
 
909
 
910 42 alirezamon
######################
911
#   soc_generate_verilog
912
#####################
913 25 alirezamon
 
914 42 alirezamon
sub soc_generate_verilatore{
915
        my ($soc,$sw_path,$name,$params_ref)= @_;
916
        my $soc_name=$soc->object_get_attribute('soc_name');
917
        my $top_ip=ip_gen->top_gen_new();
918
        if(!defined $soc_name){$soc_name='soc'};
919
 
920
        my @instances=$soc->soc_get_all_instances();
921
        my $io_sim_v;
922
        my $io_top_sim_v;
923
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
924
        $core_id= 0 if(!defined $core_id);
925
        my $param_as_in_v="\tparameter\tCORE_ID=$core_id,
926
\tparameter\tSW_LOC=\"$sw_path\"\n,";
927 25 alirezamon
 
928 42 alirezamon
        my $param_pass_v="\t.CORE_ID(CORE_ID),\n\t.SW_LOC(SW_LOC)";
929
        my $body_v;
930
 
931
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all,$io_top_full_v_all);
932
        my $wires=soc->new_wires();
933
        my $intfc=interface->interface_new();
934
 
935
 
936
        foreach my $id (@instances){
937
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v)=gen_module_inst($id,$soc,\$io_sim_v,\$io_top_sim_v,\$param_as_in_v,$top_ip,$intfc,$wires,\$param_pass_v);
938
 
939
                my $inst        = $soc->soc_get_instance_name($id);
940
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
941
 
942
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
943
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
944
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
945
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
946
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
947
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(defined($io_full_v));
948
                add_text_to_string(\$io_top_full_v_all,"$io_top_full_v\n")                      if(defined($io_top_full_v));
949
 
950
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
951
 
952
        }
953
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
954 25 alirezamon
 
955 42 alirezamon
        #add functions
956
        my $dir = Cwd::getcwd();
957
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
958
        my $functions_all='';
959
        while (my $f1 = readline ($file1)) {
960
                 $functions_all="$functions_all $f1 ";
961
        }
962
        close($file1);
963
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
964
 
965 25 alirezamon
 
966 42 alirezamon
 
967
 
968
 
969
        $soc->object_add_attribute('top_ip',undef,$top_ip);
970
        #print @assigned_wires;
971 25 alirezamon
 
972 42 alirezamon
        #generate topmodule
973
        my $params_v="
974
\tparameter\tCORE_ID=$core_id;
975
\tparameter\tSW_LOC=\"$sw_path\";\n";
976
 
977
 
978
        my %all_param=soc_get_all_parameters($soc);
979
        my @order= soc_get_all_parameters_order($soc);
980
 
981
 
982
 
983
        #replace global parameters
984
        my @list=sort keys%{$params_ref};
985
        foreach my $p (@list){
986
                 my %hash=%{$params_ref};
987
                 $all_param{$p}= $hash{$p};
988
        }
989
 
990
        foreach my $p (@order){
991
                add_text_to_string(\$params_v,"\tlocalparam  $p = $all_param{$p};\n") if(defined $all_param{$p} );
992
        }
993
 
994
        my $verilator_v =  "
995
/*********************
996
                ${name}
997
*********************/
998
 
999
module ${name} (\n $io_top_sim_v\n);\n";
1000
        my $ins= gen_soc_instance_v_no_modfy($soc,$soc_name,$param_pass_v);
1001
        add_text_to_string(\$verilator_v,$functions_all);
1002
        add_text_to_string(\$verilator_v,$params_v."\n".$io_top_full_v_all);
1003
        add_text_to_string(\$verilator_v,$ins);
1004
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v);
1005
        return ($verilator_v);
1006 25 alirezamon
 
1007
 
1008 42 alirezamon
}
1009 25 alirezamon
 
1010 42 alirezamon
 
1011
 
1012
 
1013
 
1014
 
1015
 
1016 16 alirezamon
1;
1017
 
1018
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.