OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [verilog_gen.pl] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
use constant::boolean;
2 16 alirezamon
 
3
use lib 'lib/perl';
4
 
5
use strict;
6
use warnings;
7 43 alirezamon
 
8
 
9
use FindBin;
10
use lib $FindBin::Bin;
11
 
12 16 alirezamon
use soc;
13
use ip;
14
use ip_gen;
15
use Cwd;
16
 
17
 
18
 
19
 
20
 
21
######################
22
#   soc_generate_verilog
23
#####################
24
 
25
sub soc_generate_verilog{
26 48 alirezamon
        my ($soc,$sw_path,$txview)= @_;
27 25 alirezamon
        my $soc_name=$soc->object_get_attribute('soc_name');
28 16 alirezamon
        #my $top_ip=ip_gen->ip_gen_new();
29
        my $top_ip=ip_gen->top_gen_new();
30
        if(!defined $soc_name){$soc_name='soc'};
31
 
32
        my @instances=$soc->soc_get_all_instances();
33
        my $io_sim_v;
34 48 alirezamon
        my $top_io_short;
35 28 alirezamon
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
36
        $core_id= 0 if(!defined $core_id);
37 48 alirezamon
        my $param_as_in_v_all="\tparameter\tCORE_ID=$core_id,
38 34 alirezamon
\tparameter\tSW_LOC=\"$sw_path\"";
39 28 alirezamon
 
40
 
41
 
42 48 alirezamon
    my $system_v_all="";
43
        my $param_pass_v_all="\t\t.CORE_ID(CORE_ID),\n\t\t.SW_LOC(SW_LOC)";
44 16 alirezamon
        my $body_v;
45
 
46 48 alirezamon
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all,$top_io_pass_all,$io_sim_v_all);
47
        my $top_io_short_all="\tjtag_debug_reset_in";
48
        my $top_io_full_all="\tinput \tjtag_debug_reset_in;\n";
49 17 alirezamon
        my $wires=soc->new_wires();
50
        my $intfc=interface->interface_new();
51 25 alirezamon
 
52 48 alirezamon
 
53 16 alirezamon
        foreach my $id (@instances){
54 48 alirezamon
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v,$io_sim_v,
55
                $top_io_short,$param_as_in_v,$param_pass_v,$system_v,$assigned_ports,$top_io_pass,$src_io_short, $src_io_full)=gen_module_inst($id,$soc,$top_ip,$intfc,$wires);
56 16 alirezamon
                my $inst        = $soc->soc_get_instance_name($id);
57
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
58 48 alirezamon
                add_text_to_string(\$param_as_in_v_all,",\n$param_as_in_v")     if(defined($param_as_in_v));
59 16 alirezamon
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
60 48 alirezamon
                add_text_to_string(\$param_pass_v_all,",\n$param_pass_v")       if(defined($param_pass_v));
61
                add_text_to_string(\$system_v_all,"$system_v\n")                                if(defined($system_v));
62 16 alirezamon
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
63
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
64
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
65
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
66 48 alirezamon
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(length($io_full_v)>3);
67
                add_text_to_string(\$top_io_full_all,"$io_top_full_v\n")                if(length($io_top_full_v)>3);
68
                $top_io_pass_all  = (defined $top_io_pass_all )? "$top_io_pass_all,\n$top_io_pass"   : $top_io_pass         if(defined($top_io_pass));
69
                $io_sim_v_all     = (defined $io_sim_v_all    )? "$io_sim_v_all,\n$io_sim_v"         : $io_sim_v                if(defined($io_sim_v));
70
                $top_io_short_all = (defined $top_io_short_all)? "$top_io_short_all,\n$top_io_short" : $top_io_short            if(defined($top_io_short));
71 16 alirezamon
 
72 43 alirezamon
 
73 16 alirezamon
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
74
 
75
        }
76 17 alirezamon
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
77 16 alirezamon
 
78
        #add functions
79
        my $dir = Cwd::getcwd();
80
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
81
        my $functions_all='';
82
        while (my $f1 = readline ($file1)) {
83
                 $functions_all="$functions_all $f1 ";
84
        }
85
        close($file1);
86 17 alirezamon
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
87
 
88 48 alirezamon
        $unused_wiers_v="" if(!defined $unused_wiers_v);
89
        $sockets_assign_v_all=""  if(!defined $sockets_assign_v_all);
90 16 alirezamon
 
91 48 alirezamon
my $has_ni =check_for_ni($soc);
92
my $import = ($has_ni)? "\n\timport pronoc_pkg::*;\n" : "";
93
 
94
my $global_localparam=get_golal_param_v();
95
        my $soc_v = (defined $param_as_in_v_all )? "module $soc_name $import #(\n $param_as_in_v_all\n)(\n$io_sim_v_all\n);\n": "module $soc_name (\n$io_sim_v_all\n);\n";
96
        $soc_v = $soc_v."
97
$functions_all
98
$system_v_all
99
$global_localparam
100
$local_param_v_all
101
$addr_localparam
102
$module_addr_localparam
103
$io_full_v_all
104
$wire_def_v_all
105
$unused_wiers_v
106
$inst_v_all
107
$plugs_assign_v_all
108
$sockets_assign_v_all
109
$addr_map
110
endmodule
111
 
112
";
113 16 alirezamon
 
114
 
115 34 alirezamon
        $soc->object_add_attribute('top_ip',undef,$top_ip);
116 17 alirezamon
        #print @assigned_wires;
117 16 alirezamon
 
118 48 alirezamon
        #generate top module
119
        my ($clk_set, $clk_io_sim,$clk_io_full, $clk_assigned_port)= get_top_clk_setting($soc);
120
        $top_io_short_all=(defined $top_io_short_all)? "$top_io_short_all,\n$clk_io_sim" : $clk_io_sim;
121
        $top_io_full_all=$top_io_full_all."\n$clk_io_full";
122
    $top_io_pass_all=$top_io_pass_all.",\n$clk_assigned_port";
123
    my %jtag_info= get_soc_jtag_v($soc,$soc_name,$txview);
124
        my $jtag_v=add_jtag_ctrl (\%jtag_info,$txview);
125
    my @chains = (sort { $b <=> $a } keys  %jtag_info);
126
        $soc->object_add_attribute('JTAG','M_CHAIN',$chains[0]);
127
 
128
        my $top_v = (defined $param_as_in_v_all )? "module ${soc_name}_top $import #(\n $param_as_in_v_all\n)(\n$top_io_short_all\n);\n": "module ${soc_name}_top (\n $top_io_short_all\n);\n";
129 25 alirezamon
 
130 48 alirezamon
 
131
        #my $ins= gen_soc_instance_v($soc,$soc_name,$param_pass_v,$txview);
132
 
133
        my $pass =  (defined $param_pass_v_all )? "#(\n$param_pass_v_all\n\t)\n": "";
134
 
135
 
136
        $top_v=$top_v."
137
$functions_all
138
$global_localparam
139
$local_param_v_all
140
$top_io_full_all
141
$clk_set
142
$jtag_v
143
\t${soc_name}${pass}\tthe_${soc_name}
144
\t(
145
$top_io_pass_all
146
\t);
147
endmodule
148
";
149
 
150
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v_all);
151 28 alirezamon
        return ("$soc_v",$top_v,$readme,$prog);
152 25 alirezamon
 
153
 
154 16 alirezamon
}
155
 
156 48 alirezamon
 
157
 
158
 
159
sub append_to_hash {
160
        my ($ref,$att1,$att2,$data)=@_;
161
        my %hash= %{$ref};
162
        my $r = $hash{$att1}{$att2};
163
        my @array= (defined  $r)? @{$r} : ();
164
        push (@array,$data);
165
        $hash{$att1}{$att2} = \@array;
166
        return %hash;
167
}
168
 
169
 
170
sub get_soc_jtag_v{
171
        my ($soc,$soc_name,$txview)=@_;
172
 
173
        my $processor_en=0;
174
        #my $jtag_insts="";
175
        #my $altera_jtag_ctrl=0;
176
        #my $xilinx_jtag_ctrl=0;
177
        #my $xilinx_jtag_ctrl_in;
178
        #my $xilinx_jtag_ctrl_out;
179
        my $jtag_inst_name="";
180
 
181
 
182
        my $top=$soc->soc_get_top();
183
        my @intfcs=$top->top_get_intfc_list();
184
 
185
        my %jtag_info;
186
 
187
        foreach my $intfc (@intfcs){
188
                if( $intfc =~ /socket:jtag_to_wb\[/){ #check JTAG connect parameter. if it is XILINX then connect it to jtag tap
189
                        my @ports=$top->top_get_intfc_ports_list($intfc);
190
                        foreach my $p (@ports){
191
                                my($id,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
192
                                my $JTAG_CONNECT=$soc->soc_get_module_param_value ($id,'JTAG_CONNECT');
193
                                $JTAG_CONNECT=remove_all_white_spaces($JTAG_CONNECT);
194
                                if($JTAG_CONNECT  =~ /XILINX_JTAG_WB/){
195
                                        my $chain=$soc->soc_get_module_param_value ($id,'JTAG_CHAIN');
196
                                        my $index=$soc->soc_get_module_param_value ($id,'JTAG_INDEX');
197
                                        $jtag_inst_name= $soc->soc_get_instance_name($id);
198
                                        my %params      = $soc->soc_get_module_param($id);
199
                                        my $new_range = add_instantc_name_to_parameters(\%params,$id,$range);
200
                                        %jtag_info=append_to_hash (\%jtag_info,$chain,'wire',"\twire [ $new_range ] ${p};");
201
                #                       $jtag_def=$jtag_def."\twire [ $new_range ] ${p};\n";                            
202
                                        if($type eq 'input'){
203
                #                               $jtag_insts=$jtag_insts."$id XILINX JTAG,";
204
                                                %jtag_info=append_to_hash (\%jtag_info,0,'inst',"$id XILINX JTAG");
205
                #                               $xilinx_jtag_ctrl++;
206
                                                %jtag_info=append_to_hash (\%jtag_info,$chain,'xilinx_num',1);
207
                #                               $xilinx_jtag_ctrl_in=(defined $xilinx_jtag_ctrl_in)? "$xilinx_jtag_ctrl_in,$p" : "$p";
208
                                                %jtag_info=append_to_hash (\%jtag_info,$chain,'input',$p);
209
                                                %jtag_info=check_jtag_indexs(\%jtag_info,$chain,$index,$txview,$jtag_inst_name,0);
210
                                                #print "\%jtag_info=check_jtag_indexs(\%jtag_info,$chain,$index,$txview,$jtag_inst_name);\n"
211
 
212
                                        }else {
213
                #                               $xilinx_jtag_ctrl_out=(defined $xilinx_jtag_ctrl_out)? "$xilinx_jtag_ctrl_out,$p" : "$p";
214
                                                %jtag_info=append_to_hash (\%jtag_info,$chain,'output',$p);
215
                                        }
216
                                }#'"XILINX_JTAG_WB"'
217
 
218
                                elsif($JTAG_CONNECT eq '"ALTERA_JTAG_WB"'){
219
                                        my $index=$soc->soc_get_module_param_value ($id,'JTAG_INDEX');
220
                                        if($type eq 'input'){
221
                #                               $jtag_insts=$jtag_insts."$id ALTERA JTAG,";
222
                                                %jtag_info=append_to_hash (\%jtag_info,0,'inst',"$id ALTERA JTAG");
223
                                                %jtag_info=append_to_hash (\%jtag_info,0,'altera_num',1);
224
                                                %jtag_info=check_jtag_indexs(\%jtag_info,0,$index,$txview,$jtag_inst_name,0);
225
                #                               $altera_jtag_ctrl++;
226
 
227
                                        }
228
                                }#'"ALTERA_JTAG_WB"'    
229
 
230
                        }       #$p     
231
                }#if
232
 
233
        }
234
        #print Dumper \%jtag_info;      
235
        return %jtag_info;
236
}
237
 
238
 
239 16 alirezamon
#################
240
#       gen_module_inst
241
###############
242
 
243 48 alirezamon
sub get_io_nc_info  {
244
        my($soc,$port,$inst,$intfc_name,$id)=@_;
245
        my $IO='no';
246
        my $NC='no';
247
        my($i_type,$i_name,$i_num) =split("[:\[ \\]]", $intfc_name);
248
        if($i_type eq 'plug'){
249
                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
250
                        if($connect_id eq 'IO'){ $IO='yes';}
251
                        if($connect_id eq 'NC'){ $NC='yes';}
252
        }
253
        if($i_type eq 'socket' && $i_name ne'wb_addr_map'){
254
 
255
                my ($ref1,$ref2)= $soc->soc_get_modules_plug_connected_to_socket($id,$i_name,$i_num);
256
                my %connected_plugs=%$ref1;
257
                my %connected_plug_nums=%$ref2;
258
                if(!%connected_plugs ){
259
                        my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
260
                        my $v=$soc->soc_get_module_param_value($id,$s_value);
261
                                if ( length( $v || '' )){ $IO='no';} else {
262
                                        my $con= $soc->object_get_attribute("Unset-intfc" ,"$inst-$port");
263
                                        if(!defined $con){ $IO='yes';}
264
                                        else{
265
                                                $IO='yes' if $con eq 'IO';
266
                                        }
267
                                }
268
                        }
269
                }
270
 
271
 
272
 
273
        return ($IO ,$NC);
274
}
275
 
276
 
277
 
278 16 alirezamon
sub gen_module_inst {
279 48 alirezamon
        my ($id,$soc,$top_ip,$intfc,$wires, $sim_only)=@_;
280
        $sim_only = 0 if (!defined $sim_only);
281
        my ($io_sim_v,$top_io_short,$param_as_in_v,$param_pass_v,$system_v);
282
        my $top_io_pass;
283
        my $src_io_short;
284
        my $src_io_full="";
285 16 alirezamon
        my $module      =$soc->soc_get_module($id);
286
        my $module_name =$soc->soc_get_module_name($id);
287
        my $category    =$soc->soc_get_category($id);
288
 
289 48 alirezamon
 
290 16 alirezamon
        my $inst        = $soc->soc_get_instance_name($id);
291
        my %params      = $soc->soc_get_module_param($id);
292 48 alirezamon
        my %params_type = $soc->soc_get_module_param_type($id);
293 17 alirezamon
 
294 48 alirezamon
 
295
 
296
        my $src_ip=$soc ->object_get_attribute('SOURCE_SET',"IP");
297 16 alirezamon
        my $ip = ip->lib_new ();
298 48 alirezamon
        $ip->add_ip($src_ip) if defined $src_ip;
299 16 alirezamon
 
300 48 alirezamon
 
301 16 alirezamon
        my @ports=$ip->ip_list_ports($category,$module);
302 48 alirezamon
        my ($inst_v,$intfc_v,$plugs_assign_v,$sockets_assign_v);
303 25 alirezamon
        my $wire_def_v="";
304 48 alirezamon
        my $io_full_v="";
305
        my $io_top_full_v="";
306 16 alirezamon
        $plugs_assign_v="\n";
307
 
308 48 alirezamon
 
309 16 alirezamon
        my $counter=0;
310
        my @param_order=$soc->soc_get_instance_param_order($id);
311
 
312 48 alirezamon
        my ($param_v,$local_param_v,$instance_param_v)= gen_parameter_v(\%params,$id,$inst,$category,$module,$ip,\$param_as_in_v,\@param_order,$top_ip,\$param_pass_v,\%params_type);
313 16 alirezamon
 
314
 
315 25 alirezamon
 
316 16 alirezamon
        $top_ip->top_add_def_to_instance($id,'module',$module);
317
        $top_ip->top_add_def_to_instance($id,'module_name',$module_name);
318
        $top_ip->top_add_def_to_instance($id,'category',$category);
319
        $top_ip->top_add_def_to_instance($id,'instance',$inst);
320
 
321 43 alirezamon
        #
322 48 alirezamon
        my $assigned_ports="";
323 16 alirezamon
 
324
        #module name    
325 25 alirezamon
        $inst_v=( defined $instance_param_v )? "$module_name #(\n": $module_name ;
326 16 alirezamon
        #module parameters
327 25 alirezamon
        $inst_v=( defined $instance_param_v)? "$inst_v $instance_param_v\n\t)": $inst_v;
328 16 alirezamon
        #module instance name 
329 43 alirezamon
        $inst_v="$inst_v  $inst \t(\n";
330 16 alirezamon
        #module ports
331
        $counter=0;
332
        foreach my $port (@ports){
333
                my ($type,$range,$intfc_name,$i_port)=$ip->ip_get_port($category,$module,$port);
334
                my $assigned_port;
335
                my($i_type,$i_name,$i_num) =split("[:\[ \\]]", $intfc_name);
336 38 alirezamon
 
337 48 alirezamon
                my ($IO ,$NC) =get_io_nc_info($soc,$port,$inst,$intfc_name,$id);
338
 
339
 
340
 
341 16 alirezamon
                if($NC eq 'yes'){
342
 
343
 
344
                }
345
                elsif($IO eq 'yes' || !defined $i_type || !defined $i_name || !defined $i_num){ #its an IO port
346 48 alirezamon
                        if($i_port eq 'NC' ){
347 25 alirezamon
                                $NC='yes';
348
                        }else {
349 48 alirezamon
                                 $i_name ='IO' if( !defined $i_name);
350
 
351
 
352 25 alirezamon
                                 $assigned_port="$inst\_$port";
353 48 alirezamon
                                 $io_sim_v= (!defined $io_sim_v)? "\t$assigned_port" : "$io_sim_v,\n\t$assigned_port";
354 25 alirezamon
                                 my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
355 48 alirezamon
                                 my $r = (!defined $new_range)? 0 : (length ($new_range)>1 )?  1 : 0;
356
 
357
                                my $str = (!defined $new_range) ? "\t\t\t" :
358
                                                          ($new_range =~ /:/  ) ? "\t[ $new_range ]\t" : "\t$new_range\t";
359
 
360
 
361
                                 my $port_def=          "\t$type $str $assigned_port;\n";
362
                                 $io_full_v=$io_full_v.$port_def;
363
 
364
                                 if ($i_name eq 'RxD_sim' && $sim_only == 0){
365
                                        #do notthing 
366
                                 }
367
                                 elsif($i_name eq 'enable'){
368
                                        $top_io_pass = (!defined $top_io_pass )? "\t\t.$assigned_port($assigned_port & jtag_cpu_en)" : "$top_io_pass,\n\t\t.$assigned_port($assigned_port & jtag_cpu_en)";
369
                                        $top_io_short= (!defined $top_io_short)? "\t$assigned_port" : "$top_io_short, \n\t$assigned_port";
370
                                        $io_top_full_v= $io_top_full_v.$port_def;
371
                                 } elsif($i_name eq 'reset' || $i_name eq 'clk'){
372
                                        #connection done using  get_top_clk_setting 
373
                                         $src_io_short= (!defined $src_io_short)? "\t$assigned_port" : "$src_io_short, \n\t$assigned_port";
374
                                         $src_io_full= $src_io_full.$port_def;
375
                                 } elsif( $i_name eq 'jtag_to_wb' ){
376
                                         $top_io_pass = (!defined $top_io_pass )? "\t\t.$assigned_port($assigned_port)" : "$top_io_pass,\n\t\t.$assigned_port($assigned_port)";
377
 
378
                                 }else{
379
                                         $top_io_short= (!defined $top_io_short)? "\t$assigned_port" : "$top_io_short, \n\t$assigned_port";
380
                                         $top_io_pass = (!defined $top_io_pass )? "\t\t.$assigned_port($assigned_port)" : "$top_io_pass,\n\t\t.$assigned_port($assigned_port)";
381
                                         $io_top_full_v= $io_top_full_v.$port_def;
382
                                 }
383
 
384
 
385
 
386 25 alirezamon
                                # $top_ip->ipgen_add_port($assigned_port, $new_range, $type ,$intfc_name,$i_port);
387
                                $top_ip->top_add_port($id,$assigned_port, $new_range, $type ,$intfc_name,$i_port);
388
                        }
389 16 alirezamon
 
390
 
391
                }
392
                else{ # port connected internally using interface 
393
                         $assigned_port="$inst\_$i_type\_$i_name\_$i_num\_$i_port";
394
 
395
                         #create plug wires
396 25 alirezamon
                         my ($wire_string,$port_name)=generate_wire ($range,$assigned_port,$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
397
                         #add wire def if it is not defined before
398
 
399
                         add_text_to_string(\$wire_def_v,$wire_string)  if ($wire_def_v !~ /[\s\]]$port_name;/);
400 16 alirezamon
 
401
 
402
 
403
                        if($i_type eq 'plug'){
404
                                #read socket port name
405
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($id,$i_name,$i_num);
406
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_plug($i_name,$i_port);
407
                                #my $connect_port= "socket_$i_name\_$i_num\_$i_connect";
408
                                if(defined $connect_socket_num){
409
                                        my $connect_n=$soc->soc_get_instance_name($connect_id);
410
                                        my $connect_port= "$connect_n\_socket_$i_name\_$connect_socket_num\_$i_connect";
411
                                        #connect plug port to socket port
412
                                        my $new_range = add_instantc_name_to_parameters(\%params,$inst,$range);
413 48 alirezamon
                                        my $r= (!defined $range)? 0 : (length ($range)>1 )? 1 :0;
414 17 alirezamon
 
415 48 alirezamon
                                        my $connect_port_range=($r==1)?"$connect_port\[$new_range\]":$connect_port;
416
 
417 17 alirezamon
                                        if($type eq 'input' ){
418
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $assigned_port = $connect_port_range;\n";
419
                                                $wires->wire_add($assigned_port,"connected",1);
420
 
421
                                        }else{
422
                                                $plugs_assign_v= "$plugs_assign_v \tassign  $connect_port  = $assigned_port;\n";
423
                                                $wires->wire_add($connect_port,"connected",1);
424
                                        }
425
 
426
 
427 16 alirezamon
                                }
428
                        }#plug
429
                        else{ #socket
430
                                my  ($s_type,$s_value,$s_connection_num)=$soc->soc_get_socket_of_instance($id,$i_name);
431
                                my $v=$soc->soc_get_module_param_value($id,$s_value);
432
                                my ($i_range,$t,$i_connect)=$intfc->get_port_info_of_socket($i_name,$i_port);
433
                                if ( length( $v || '' )) {
434
                                                $v--;
435
                                                my $name= $soc->soc_get_instance_name($id);
436
                                                my $joint= "$name\_$i_type\_$i_name\_$v\_$i_port";
437
 
438 25 alirezamon
                                                my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$v\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
439
                                                add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
440 16 alirezamon
 
441
                                                for(my $i=$v-1; $i>=0; $i--) {
442
                                                        $joint= "$joint ,$name\_$i_type\_$i_name\_$i\_$i_port";
443
                                                        #create socket wires
444
                                                         #create plug wires
445 25 alirezamon
                                                        my ($wire_string,$port_name)=generate_wire ($i_range,"$name\_$i_type\_$i_name\_$i\_$i_port",$inst,\%params,$i_type,$i_name,$i_num,$i_port, $wires);
446
                                                        add_text_to_string(\$wire_def_v,$wire_string) if ($wire_def_v !~ /[\s\]]$port_name;/);
447 16 alirezamon
 
448
 
449
 
450
 
451
 
452
 
453
                                                }
454 17 alirezamon
                                                $wires->wire_add($assigned_port,"connected",1)  if($type eq 'input');
455
                                                if($type ne 'input' ){
456
                                                        my @w=split('\s*,\s*',$joint);
457
                                                        foreach my $q (@w) {
458
                                                                $wires->wire_add($q,"connected",1);
459
                                                        }
460
 
461
                                                }
462
                                                $joint=($v>0)? "\{ $joint\ }" : "$joint";
463
                                                my $text=($type eq 'input' )? "\tassign $assigned_port = $joint;\n": "\tassign $joint = $assigned_port;\n";
464
 
465 16 alirezamon
                                                add_text_to_string(\$sockets_assign_v,$text);
466
                                }
467
 
468
 
469
 
470
                        }#socket        
471
 
472
 
473
                }
474
 
475 48 alirezamon
                $i_name ='IO' if (!defined $i_name);
476
                my $reset_jtag_ored = ($i_name eq 'reset')? '| jtag_system_reset' : '';
477
                my $reset_jtag_nc = ($i_name eq 'reset')? 'jtag_system_reset' : '';
478 16 alirezamon
 
479 48 alirezamon
 
480 17 alirezamon
                if (++$counter == scalar(@ports)){#last port def
481 16 alirezamon
 
482
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port()\n": "$inst_v\t\t.$port($assigned_port)\n";
483 48 alirezamon
                        $assigned_ports=($NC eq 'yes')? "$assigned_ports\t\t.$port($reset_jtag_nc)\n": "$assigned_ports\t\t.$port($assigned_port $reset_jtag_ored)\n";
484 16 alirezamon
 
485
                }
486
                else {
487
                        $inst_v=($NC eq 'yes')? "$inst_v\t\t.$port(),\n":"$inst_v\t\t.$port($assigned_port),\n";
488 48 alirezamon
                        $assigned_ports=($NC eq 'yes')? "$assigned_ports\t\t.$port($reset_jtag_nc),\n":"$assigned_ports\t\t.$port($assigned_port $reset_jtag_ored),\n";
489 16 alirezamon
                }
490
 
491 17 alirezamon
                if($type ne 'input' && $NC ne 'yes' ){
492
                        $wires->wire_add($assigned_port,"connected",1);
493
 
494
                }
495 16 alirezamon
 
496
 
497
 
498
        }
499
        $inst_v="$inst_v\t);\n";
500
 
501 48 alirezamon
 
502
 
503 43 alirezamon
        my $hdr =$ip->ip_get($category,$module,'system_v');
504
        if(defined $hdr){
505
                        $hdr=replace_golb_var($hdr,\%params);
506 48 alirezamon
                $system_v= "$system_v $hdr\n";
507 43 alirezamon
        }
508 17 alirezamon
 
509 48 alirezamon
        return ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,     $io_full_v,
510
        $io_top_full_v,$io_sim_v,$top_io_short,$param_as_in_v,$param_pass_v,$system_v,$assigned_ports,$top_io_pass,
511
        $src_io_short, $src_io_full);
512
        #return ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v,$param_pass_v,$assigned_ports);
513 17 alirezamon
 
514 16 alirezamon
 
515
}
516
 
517
 
518
sub add_instantc_name_to_parameters{
519
        my ($params_ref,$inst,$range)=@_;
520
        my $new_range=$range;
521
        #print "$new_range\n";
522 38 alirezamon
        return $new_range if(!defined $range);
523 16 alirezamon
        my @list=sort keys%{$params_ref};
524
        foreach my $param (@list){
525
                my $new_param= "$inst\_$param";
526
                ($new_range=$new_range)=~ s/\b$param\b/$new_param/g;
527
                #print "$new_range= s/\b$param\b/$new_param/g\n";
528
        }
529
                return $new_range;
530
}
531
 
532
 
533
sub gen_parameter_v{
534 48 alirezamon
        my ($param_ref,$id,$inst,$category,$module,$ip,$param_as_in_v,$ref_ordered,$top_ip,$param_pass_v,$param_type_ref)=@_;
535
        my %params=%{$param_ref};
536
        my %params_type=%{$param_type_ref};
537 16 alirezamon
        my @param_order;
538
        @param_order=@{$ref_ordered} if(defined $ref_ordered);
539
 
540
        my ($param_v,$local_param_v,$instance_param_v);
541
        my @list;
542 48 alirezamon
        @list= (@param_order)? @param_order :   sort keys%params;
543 16 alirezamon
        my $first_param=1;
544 25 alirezamon
 
545 16 alirezamon
        $local_param_v="";
546
        $param_v="";
547 25 alirezamon
 
548 16 alirezamon
        #add instance name to parameter value
549
        foreach my $param (@list){
550
                $params{$param}=add_instantc_name_to_parameters(\%params,$inst,$params{$param});
551 48 alirezamon
                #%params_type{$param}=add_instantc_name_to_parameters(\%params_type,$inst,$params_type{$param});
552 16 alirezamon
        }
553
 
554
 
555
        #print parameters
556
        foreach my $param (@list){
557
                my $inst_param= "$inst\_$param";
558 38 alirezamon
                my ($default,$type,$content,$info,$vfile_param_type,$redefine_param)= $ip->ip_get_parameter($category,$module,$param);
559 25 alirezamon
                $vfile_param_type= "Don't include" if (!defined $vfile_param_type );
560 48 alirezamon
                if ($vfile_param_type eq "Localparam"){
561
                        my $type = $params_type{$param};
562
                        $type = "Localparam" if (! defined $type);
563
                        $vfile_param_type = ($type eq 'Parameter')?  "Parameter" : "Localparam";
564
                }
565
 
566
 
567
 
568
                #$vfile_param_type= "Parameter"  if ($vfile_param_type eq 1);
569
                #$vfile_param_type= "Localparam" if ($vfile_param_type eq 0);           
570 25 alirezamon
                $redefine_param=1 if (! defined $redefine_param);
571
                $redefine_param=0 if ($vfile_param_type eq "Don't include");
572
                if($redefine_param eq 1){
573
                        $instance_param_v=($first_param eq 1)? "\t\t.$param($inst_param)" : "$instance_param_v,\n\t\t.$param($inst_param)";
574
                        $first_param=0;
575 16 alirezamon
 
576
                }
577
 
578
 
579 25 alirezamon
 
580
                if($vfile_param_type eq "Localparam"){
581 16 alirezamon
                        $local_param_v="$local_param_v\tlocalparam\t$inst_param=$params{$param};\n";
582 42 alirezamon
                        $top_ip->top_add_localparam($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
583 25 alirezamon
                }
584
                elsif($vfile_param_type eq "Parameter"){
585 48 alirezamon
                        #print "$inst_param($inst_param)\n";
586 16 alirezamon
                        $param_v="$param_v\tparameter\t$inst_param=$params{$param};\n";
587 48 alirezamon
                        $$param_pass_v =(defined ($$param_pass_v ))? "$$param_pass_v,\n\t\t.$inst_param($inst_param)": "\t\t.$inst_param($inst_param)";
588 16 alirezamon
                        $$param_as_in_v=(defined ($$param_as_in_v))? "$$param_as_in_v ,\n\tparameter\t$inst_param=$params{$param}":
589
                                                                                                                 "   \tparameter\t$inst_param=$params{$param}";
590
                        #add parameter to top 
591
                        #$top_ip  $inst_param                   
592 25 alirezamon
                        $top_ip->top_add_parameter($id,$inst_param,$params{$param},$type,$content,$info,$vfile_param_type,$redefine_param);
593 16 alirezamon
 
594
                }
595
 
596
 
597
 
598
        }
599
 
600
        return ($param_v,$local_param_v,$instance_param_v);
601
 
602
 
603
}
604
 
605
###############
606
#       generate_address_cmp
607
##############
608
 
609
sub generate_address_cmp{
610 17 alirezamon
        my ($soc,$wires)=@_;
611 16 alirezamon
        my $number=0;
612
        my $addr_mp_v="\n//Wishbone slave address match\n";
613
        my $instance_addr_localparam="\n//Wishbone slave base address based on instance name\n";
614
        my $module_addr_localparam="\n//Wishbone slave base address based on module name. \n";
615
 
616
        my @all_instances=$soc->soc_get_all_instances();
617
        foreach my $instance_id (@all_instances){
618
                my $instance_name=$soc->soc_get_instance_name($instance_id);
619
                        my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
620
                        foreach my $plug (@plugs){
621 25 alirezamon
                                my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
622 16 alirezamon
                                foreach my $num (@nums){
623
                                        my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
624
                                        if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
625 25 alirezamon
                                                #read wishbone bus address and data width size                                          
626
                                                my $Aw=$soc->soc_get_module_param_value ($connect_id,'Aw');
627
                                                my $Dw=$soc->soc_get_module_param_value ($connect_id,'Dw');
628
                                                $Aw=32 if (!defined $Aw);
629
                                                $Dw=32 if (!defined $Dw);
630 16 alirezamon
                                                add_text_to_string(\$addr_mp_v,"/* $instance_name wb_slave $num */\n");
631 25 alirezamon
                                                #count how many nibbles we have in address size 
632
                                                my $hh= ($Aw % 4)? ($Aw >> 2)+1 : ($Aw >> 2);
633
                                                $hh= "'h%0${hh}x";#hex address nibble num
634
                                                #change addresses to word as the assign addresses by ProNoC GUI are in bytes  
635
                                                my $bytenum=($Dw/8);
636
                                                my $base_hex=$Aw.sprintf($hh, ($base/$bytenum));
637
                                                my $end_hex=$Aw.sprintf($hh, ($end/$bytenum));
638
                                                #my $base_hex=sprintf("32'h%08x", ($base>>2));
639
                                                #my $end_hex=sprintf("32'h%08x", ($end>>2));
640
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
641
                                                add_text_to_string(\$instance_addr_localparam,"\tlocalparam \t$instance_name\_WB$num\_END_ADDR\t=\t$end_hex;\n");
642 16 alirezamon
                                                if($instance_name ne $instance_id){
643 25 alirezamon
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_BASE_ADDR\t=\t$base_hex;\n");
644
                                                        add_text_to_string(\$module_addr_localparam,"\tlocalparam \t$instance_id\_WB$num\_END_ADDR\t=\t$end_hex;\n");
645 16 alirezamon
                                                }
646
 
647 17 alirezamon
                                                my $connect_name=$soc->soc_get_instance_name($connect_id);
648
                                                $wires->wire_add("$connect_name\_socket_wb_addr_map_0_sel_one_hot","connected",1);
649 25 alirezamon
                                                $addr_mp_v="$addr_mp_v \tassign $connect_name\_socket_wb_addr_map_0_sel_one_hot[$connect_socket_num\] = (($connect_name\_socket_wb_addr_map_0_grant_addr >= $instance_name\_WB$num\_BASE_ADDR)   & ($connect_name\_socket_wb_addr_map_0_grant_addr <= $instance_name\_WB$num\_END_ADDR));\n";
650 16 alirezamon
 
651
                                                $number++;
652
                                        }#if
653
                                }#foreach my $num
654
                        }#foreach my $plug
655
                }#foreach my $instance_id
656
 
657
                add_text_to_string(\$instance_addr_localparam,"\n");
658
                add_text_to_string(\$module_addr_localparam,"\n");
659
                return ($addr_mp_v,$instance_addr_localparam,$module_addr_localparam);
660
}
661
 
662
 
663
sub add_text_to_string{
664
                my ($string,$text)=@_;
665
                if(defined $text){
666
                        $$string=(defined ($$string))? "$$string $text" : $text;
667
                }
668
}
669
 
670
 
671
 
672
sub generate_wire {
673 17 alirezamon
        my($range,$port_name,$inst_name,$params_ref,$i_type,$i_name,$i_num,$i_port, $wires)=@_;
674 16 alirezamon
        my $wire_string;
675 17 alirezamon
        my $new_range;
676 48 alirezamon
        my $r= (!defined $range)? 0 : (length ($range)>1 )? 1 :0;
677
 
678
        if($r ==1 ){
679 16 alirezamon
                #replace parameter in range
680 17 alirezamon
                $new_range = add_instantc_name_to_parameters($params_ref,$inst_name,$range);
681 16 alirezamon
                $wire_string= "\twire\t[ $new_range ] $port_name;\n";
682
        }
683
        else{
684
                $wire_string="\twire\t\t\t $port_name;\n";
685 17 alirezamon
        }
686
        $wires->wire_add("$port_name","range",$new_range);
687
        $wires->wire_add("$port_name","inst_name",$inst_name);
688
        $wires->wire_add("$port_name","i_type",$i_type);
689
        $wires->wire_add("$port_name","i_name",$i_name);
690
        $wires->wire_add("$port_name","i_num",$i_num);
691
        $wires->wire_add("$port_name","i_port",$i_port);
692
 
693 25 alirezamon
        return ($wire_string,$port_name);
694 16 alirezamon
}
695
 
696 17 alirezamon
sub port_width_repeat{
697
        my ($range,$value)=@_;
698 18 alirezamon
        return "$value" if (!defined $range);
699
        $range= remove_all_white_spaces($range);
700 17 alirezamon
        my ($h,$l)=split(':',$range);
701
        return "$value" if(!defined $h ) ; # port width is 1
702
        return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
703
        $h=$l if($h eq "0" && "$l" ne "0");
704
        if($h =~ /-1$/){ # the address ranged is endup with -1 
705
                $h =~ s/-1$//; # remove -1
706
                return "\{$h\{$value\}\}"  if($h =~ /\)$/);
707
                return "\{($h)\{$value\}\}" if($h =~ /[\*\.\+\-\^\%\&]/);
708
                return "\{$h\{$value\}\}";
709
        }
710
        return "\{($h+1){$value}}";
711
}
712 16 alirezamon
 
713 17 alirezamon
sub assign_unconnected_wires{
714
        my($wires,$intfc)=@_;
715
        my $unused_wire_v=undef;
716
 
717
        my @all_wires=$wires->wires_list();
718
        foreach my $p (@all_wires ){
719
                if(!defined $wires->wire_get($p,"connected")){ # unconnected wires
720
                        # Take default value from interface definition 
721
                        #$wires->wire_get("$p","inst_name");
722
                        my $i_type=$wires->wire_get($p,"i_type");
723
                        my $i_name= $wires->wire_get($p,"i_name");
724
                        my $i_num=$wires->wire_get($p,"i_num");
725
                        my $i_port=$wires->wire_get($p,"i_port");
726
                        my $new_range=$wires->wire_get($p,"range");
727
                        my ($range,$type,$connect,$default_out) = ($i_type eq "socket" )? $intfc->get_port_info_of_socket($i_name,$i_port):
728
                                                                                                                                                          $intfc->get_port_info_of_plug($i_name,$i_port);
729
                        #""Active high","Don't care"
730
 
731
                        my $default=(!defined $default_out                )? port_width_repeat($new_range,"1\'bx"):
732
                                                ($default_out eq 'Active low' )? port_width_repeat($new_range,"1\'b0"):
733
                                            ($default_out eq 'Active high')? port_width_repeat($new_range,"1\'b1"):
734
                                                ($default_out eq 'Don\'t care')? port_width_repeat($new_range,"1\'bx"): $default_out;
735
 
736
 
737 18 alirezamon
                        $unused_wire_v= (defined $unused_wire_v)? "$unused_wire_v \tassign ${p} = $default;\n" : "\tassign ${p} = $default;\n";
738 17 alirezamon
 
739
                }
740
 
741
        }
742
        $unused_wire_v="\n//Take the default value for ports that defined by interfaces but did not assigned to any wires.\n $unused_wire_v\n\n" if(defined $unused_wire_v);
743
        return $unused_wire_v;
744 16 alirezamon
 
745 17 alirezamon
 
746
}
747 16 alirezamon
 
748
 
749
 
750
 
751 25 alirezamon
sub gen_soc_instance_v{
752 48 alirezamon
        my ($soc,$soc_name,$param_pass_v,$txview)=@_;
753
 
754 25 alirezamon
        my $processor_en=0;
755 48 alirezamon
        my $altera_jtag_ctrl=0;
756
        my $xilinx_jtag_ctrl=0; #if it becomes larger than 0 then add jtag to wb module 
757
        my $jtag_insts="";
758
        my $xilinx_jtag_ctrl_in;
759
        my $xilinx_jtag_ctrl_out;
760
        my $rpin = "1\'b0";
761
        my $clkpin;
762
        my $soc_v="
763
        // Allow software to remote reset/enable the cpu via jtag
764 25 alirezamon
        wire jtag_cpu_en, jtag_system_reset;
765 48 alirezamon
";
766 16 alirezamon
 
767 25 alirezamon
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
768
        my $top=$soc->soc_get_top();
769
        my @intfcs=$top->top_get_intfc_list();
770 48 alirezamon
        my $i=0;
771 25 alirezamon
        my $ss="";
772
        my $ww="";
773
 
774 48 alirezamon
        my $jtag_inst_name="";
775
 
776
        foreach my $intfc (@intfcs){
777 25 alirezamon
 
778
                #reset
779
                if( $intfc eq 'plug:reset[0]'){
780
                        my @ports=$top->top_get_intfc_ports_list($intfc);
781
                        foreach my $p (@ports){
782 48 alirezamon
                                my($id,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
783 25 alirezamon
                                $mm="$mm," if ($i);
784
                                $mm="$mm\n\t\t.$p(${p}_ored_jtag)";
785
                                $ss="$ss\tassign ${p}_ored_jtag = (jtag_system_reset | $p);\n";
786
                                $ww="$ww\twire ${p}_ored_jtag;\n";
787 48 alirezamon
                                $rpin = $p;
788 25 alirezamon
                                $i=1;
789
 
790
                        }
791
                }
792 48 alirezamon
 
793 25 alirezamon
                #enable
794
                elsif( $intfc eq 'plug:enable[0]'){
795
                        my @ports=$top->top_get_intfc_ports_list($intfc);
796
                        foreach my $p (@ports){
797 48 alirezamon
                                my($id,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
798 25 alirezamon
                                $mm="$mm," if ($i);
799
                                $mm="$mm\n\t\t.$p(${p}_anded_jtag)";
800
                                $ss="$ss\tassign ${p}_anded_jtag= (jtag_cpu_en & $p);\n";
801
                                $ww="$ww\twire ${p}_anded_jtag;\n";
802
                                $processor_en=1;
803
                                $i=1;
804
 
805
                        }
806 48 alirezamon
                }
807 25 alirezamon
 
808 38 alirezamon
                #RxD_sim
809
                elsif( $intfc eq 'socket:RxD_sim[0]'){
810 48 alirezamon
                        #This interface is for simulation only donot include it in top module                   
811 38 alirezamon
                        my @ports=$top->top_get_intfc_ports_list($intfc);
812
                        foreach my $p (@ports){
813
                                $mm="$mm," if ($i);
814
                                $mm="$mm\n\t\t.$p( )";
815
                                $i=1;
816 48 alirezamon
                        }
817
                }
818
 
819
 
820
                #jtag_to_wb     
821
                elsif( $intfc =~ /socket:jtag_to_wb\[/){ #check JTAG connect parameter. if it is XILINX then connect it to jtag tap
822
                        my @ports=$top->top_get_intfc_ports_list($intfc);
823
                        foreach my $p (@ports){
824
                                my($id,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
825
 
826
 
827
                                my $JTAG_CONNECT=remove_all_white_spaces($soc->soc_get_module_param_value ($id,'JTAG_CONNECT'));
828
 
829
                                #print "$inst,$range,$type,$intfc_name,$intfc_port-> $JTAG_CONNECT;";
830
                                if($JTAG_CONNECT eq '"XILINX_JTAG_WB"'){
831
                                        $jtag_inst_name= $soc->soc_get_instance_name($id);
832
 
833
 
834
                                        my %params      = $soc->soc_get_module_param($id);
835
                                        my $new_range = add_instantc_name_to_parameters(\%params,$id,$range);
836
                                        $ww="$ww\twire [ $new_range ] ${p};\n";
837
 
838
                                        $mm="$mm," if ($i);
839
                                        $mm="$mm\n\t\t.$p($p)";
840
                                        if($type eq 'input'){
841
                                                $jtag_insts=$jtag_insts."$id XILINX JTAG,";
842
                                                $xilinx_jtag_ctrl++;
843
                                                $xilinx_jtag_ctrl_in=(defined $xilinx_jtag_ctrl_in)? "$xilinx_jtag_ctrl_in,$p" : "$p";
844
                                        }else {
845
                                                $xilinx_jtag_ctrl_out=(defined $xilinx_jtag_ctrl_out)? "$xilinx_jtag_ctrl_out,$p" : "$p";
846
                                        }
847
 
848
 
849
                                }else{#Dont not connect 
850
                                        $mm="$mm," if ($i);
851
                                        $mm="$mm\n\t\t.$p( )";
852
                                }
853
 
854
                                if($JTAG_CONNECT =~ /ALTERA_JTAG_WB/){
855
 
856
                                        if($type eq 'input'){
857
                                                $jtag_insts=$jtag_insts."$id ALTERA JTAG,";
858
                                                $altera_jtag_ctrl++;
859
 
860
                                        }
861
                                }
862
                                $i=1;
863 38 alirezamon
                        }
864
 
865
                }
866 48 alirezamon
 
867
 
868
 
869 25 alirezamon
                else {
870
                #other interface
871
                        my @ports=$top->top_get_intfc_ports_list($intfc);
872
                        foreach my $p (@ports){
873 48 alirezamon
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
874
                        $clkpin=$p if( $intfc eq 'plug:clk[0]');
875 25 alirezamon
                        $mm="$mm," if ($i);
876
                        $mm="$mm\n\t\t.$p($p)";
877
                        $i=1;
878
 
879 48 alirezamon
                        }
880
                }
881 25 alirezamon
 
882
        }
883
        $mm="$mm\n\t);";
884
        add_text_to_string(\$soc_v,"$ww\n");
885
        add_text_to_string(\$soc_v,"$mm\n");
886
        add_text_to_string(\$soc_v,"$ss\n");
887 48 alirezamon
 
888 25 alirezamon
 
889 48 alirezamon
        if($altera_jtag_ctrl>0 && $xilinx_jtag_ctrl>0 ){
890
                add_colored_info($txview,"Found JTAG comminication ports from differnt FPGA vendors:$jtag_insts. ",'red');
891
 
892
        }elsif ($xilinx_jtag_ctrl>0){
893
                $xilinx_jtag_ctrl_in  ="{$xilinx_jtag_ctrl_in}"  if($xilinx_jtag_ctrl != 1);
894
                $xilinx_jtag_ctrl_out ="{$xilinx_jtag_ctrl_out}" if($xilinx_jtag_ctrl != 1);
895
 
896
                $soc_v = $soc_v."
897
        xilinx_jtag_wb  #(
898
                .JWB_NUM($xilinx_jtag_ctrl),
899
                .JDw(${jtag_inst_name}_JDw),
900
        .JAw(${jtag_inst_name}_JAw)
901
        )jwb(
902
 
903
                .reset($rpin),
904
                .cpu_en(jtag_cpu_en),
905
                .system_reset(jtag_system_reset),
906
                .wb_to_jtag_all($xilinx_jtag_ctrl_out),
907
                .jtag_to_wb_all($xilinx_jtag_ctrl_in)
908
        );
909
 
910
";
911
 
912
        }elsif($altera_jtag_ctrl>0) {
913
$soc_v = $soc_v."
914
        jtag_system_en jtag_en (
915
                .cpu_en(jtag_cpu_en),
916
                .system_reset(jtag_system_reset)
917 25 alirezamon
 
918 48 alirezamon
        );
919
";
920
 
921
        }else{
922
$soc_v = $soc_v."
923
    //No jtag connection has found in the design
924
        assign jtag_cpu_en=1\'b0;
925
        assign jtag_system_reset=1'b0;
926
";
927
        }
928
 
929
        $soc_v=$soc_v."\n endmodule\n";
930 25 alirezamon
        return $soc_v;
931
 
932
}
933
 
934
 
935
 
936
 
937
 
938 42 alirezamon
sub gen_soc_instance_v_no_modfy{
939
        my ($soc,$soc_name,$param_pass_v)=@_;
940
        my $soc_v;
941
        my $processor_en=0;
942
 
943 25 alirezamon
 
944 42 alirezamon
        my $mm="$soc_name #(\n $param_pass_v \n\t)the_${soc_name}(\n";
945 25 alirezamon
 
946 42 alirezamon
        my $top=$soc->soc_get_top();
947
        my @intfcs=$top->top_get_intfc_list();
948
 
949
        my $i=0;
950 25 alirezamon
 
951 42 alirezamon
 
952
 
953
 
954
        my $ss="";
955
        my $ww="";
956
 
957 48 alirezamon
        foreach my $intfc (@intfcs){
958 42 alirezamon
 
959
 
960 48 alirezamon
 
961 42 alirezamon
 
962
 
963
                        my @ports=$top->top_get_intfc_ports_list($intfc);
964
                        foreach my $p (@ports){
965
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
966 48 alirezamon
                        $mm="$mm," if ($i);
967
                        if( $intfc =~ /socket:jtag_to_wb\[/){#dont include jtag connection
968
                                $mm="$mm\n\t\t.$p( )";
969
                        }else{
970
                                $mm="$mm\n\t\t.$p($p)";
971
                        }
972 42 alirezamon
                        $i=1;
973
 
974
 
975
                }
976
 
977
 
978
        }
979
        $mm="$mm\n\t);";
980
        add_text_to_string(\$soc_v,"$ww\n");
981
        add_text_to_string(\$soc_v,"$mm\n");
982
        add_text_to_string(\$soc_v,"$ss\n");
983
        add_text_to_string(\$soc_v,"\n endmodule\n");
984
 
985
 
986
 
987
        return $soc_v;
988 25 alirezamon
 
989 42 alirezamon
}
990 25 alirezamon
 
991
 
992
 
993 42 alirezamon
 
994
 
995
 
996
 
997
 
998 25 alirezamon
sub gen_system_info {
999
        my ($soc,$param)=@_;
1000
        my ($wb_slaves,$wb_masters,$other,$jtag);
1001
        #my (@newbase,@newend,@connects);
1002
 
1003
 
1004 28 alirezamon
   $jtag='';
1005 25 alirezamon
 
1006 28 alirezamon
        my @all_instances=$soc->soc_get_all_instances();
1007 25 alirezamon
 
1008 28 alirezamon
my %jtagwb; my %ram;
1009
 
1010
 
1011 25 alirezamon
        foreach my $instance_id (@all_instances){
1012 28 alirezamon
                my $category=$soc->soc_get_category($instance_id);
1013
 
1014 25 alirezamon
                my @plugs= $soc->soc_get_all_plugs_of_an_instance($instance_id);
1015
                foreach my $plug (@plugs){
1016
                        my @nums=$soc->soc_list_plug_nums($instance_id,$plug);
1017
                        foreach my $num (@nums){
1018
                                my ($addr,$base,$end,$name,$connect_id,$connect_socket,$connect_socket_num)=$soc->soc_get_plug($instance_id,$plug,$num);
1019 28 alirezamon
 
1020 25 alirezamon
                                my $instance_name=$soc->soc_get_instance_name($instance_id);
1021
                                my $connect_name=$soc->soc_get_instance_name($connect_id);
1022
                                #get interfaces
1023
                                if((defined $connect_socket) && ($connect_socket eq 'wb_slave')){
1024
 
1025
                                        $base=sprintf("0x%08x", $base);
1026
                                        $end=sprintf("0x%08x", $end);
1027 28 alirezamon
                                        add_text_to_string(\$wb_slaves, "\t$instance_name, $name, $connect_name, $base, $end\n");
1028
                                        if ($category eq 'RAM') {
1029
                                                $ram{$instance_id}{'base'}=$base;
1030
                                                $ram{$instance_id}{'end'}=$end;
1031
                                                $ram{$instance_id}{'connect'}=$connect_id;
1032
                                        }
1033 25 alirezamon
 
1034
                                }#if
1035
                                elsif((defined $connect_socket) && ($connect_socket eq 'wb_master')){
1036
                                        add_text_to_string(\$wb_masters,"\t$instance_name, $name, $connect_name\n");
1037
                                }
1038
                                elsif(defined $connect_socket) {
1039
                                        add_text_to_string(\$other,"\t$instance_name, $name, $connect_name\n");
1040
 
1041
                                }
1042
                                # get jtag_wbs
1043
                                if((defined $connect_socket) && ($connect_socket eq 'wb_master') && ($instance_id =~ /jtag_wb/)){
1044 48 alirezamon
                                        my $index=$soc->soc_get_module_param_value($instance_id,'JTAG_INDEX');
1045
                                        my $chain=$soc->soc_get_module_param_value($instance_id,'JTAG_CHAIN');
1046
                                        my $vendor_connect =$soc->soc_get_module_param_value($instance_id,'JTAG_CONNECT');
1047
                                        add_text_to_string(\$jtag, "\t$instance_name,  $connect_name, $index\n");
1048 28 alirezamon
 
1049
                                        $jtagwb{$connect_id}{'index'}=$index;
1050 48 alirezamon
                                        $jtagwb{$connect_id}{'chain'}=$chain;
1051
                                        $jtagwb{$connect_id}{'vendor_connect'}=$vendor_connect;
1052 25 alirezamon
                                }
1053
 
1054
 
1055
                        }#foreach my $num
1056
                }#foreach my $plug
1057
        }#foreach my $instance_id
1058
 
1059 28 alirezamon
        #Generate memory programming command
1060 45 alirezamon
my $prog='#!/bin/bash
1061 25 alirezamon
 
1062 38 alirezamon
#JTAG_INTFC="$PRONOC_WORK/toolchain/bin/JTAG_INTFC"
1063
source ./jtag_intfc.sh
1064 28 alirezamon
 
1065
';
1066
 
1067
 
1068
        foreach my $instance_id (@all_instances){
1069
                my $category=$soc->soc_get_category($instance_id);
1070
                if ($category eq 'RAM') {
1071
 
1072
                        my $jtag_connect=$soc->soc_get_module_param_value($instance_id,'JTAG_CONNECT');
1073 48 alirezamon
                        $jtag_connect=remove_all_white_spaces($jtag_connect);
1074 28 alirezamon
                        my $aw=$soc->soc_get_module_param_value($instance_id,'Aw');
1075
                        my $dw=$soc->soc_get_module_param_value($instance_id,'Dw');
1076
                        my $JTAG_INDEX=$soc->soc_get_module_param_value($instance_id,'JTAG_INDEX');
1077 48 alirezamon
                        my $JTAG_CHAIN=$soc->soc_get_module_param_value($instance_id,'JTAG_CHAIN');
1078 28 alirezamon
 
1079
                        #check if jtag_index is a parameter
1080
                        my $v=$soc->soc_get_module_param_value($instance_id,$JTAG_INDEX);
1081
                        $JTAG_INDEX = $v if (defined $v);
1082
                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
1083
                        $JTAG_INDEX = $v if (defined $v);
1084
 
1085 34 alirezamon
                        my $BINFILE=$soc->soc_get_module_param_value($instance_id,'JTAG_MEM_FILE');
1086 28 alirezamon
                        ($BINFILE)=$BINFILE=~ /"([^"]*)"/ if(defined $BINFILE);
1087 38 alirezamon
                        $BINFILE=(defined $BINFILE) ? "./RAM/".$BINFILE.'.bin' : './RAM/ram0.bin';
1088 28 alirezamon
 
1089
                        my $OFSSET="0x00000000";
1090 34 alirezamon
                        my $end=((1 << $aw)*($dw/8))-1;
1091 28 alirezamon
                        my $BOUNDRY=sprintf("0x%08x", $end);
1092 48 alirezamon
                        if($jtag_connect =~ /ALTERA_JTAG_WB/ ) {
1093 38 alirezamon
                                $prog= "$prog \$JTAG_INTFC -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
1094 28 alirezamon
                                #print "prog= $prog\n";
1095
 
1096 48 alirezamon
                        } elsif ($jtag_connect =~ /XILINX_JTAG_WB/){
1097
                                $prog= "$prog \$JTAG_INTFC -t $JTAG_CHAIN -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
1098
 
1099
                        }
1100
 
1101
                        elsif ($jtag_connect eq 'ALTERA_IMCE'){
1102 28 alirezamon
                                #TODO add later
1103 48 alirezamon
                                $prog= "$prog ".'>&2 echo'." \"ALTERA_IMCE runtime programming is not supported yet for programming  $instance_id\"\n";
1104 28 alirezamon
 
1105
                        } else{
1106 48 alirezamon
                                #check if its connected to jtag_wb via the bus
1107 28 alirezamon
                                my      $connect_id = $ram{$instance_id}{'connect'};
1108
                                my $OFSSET = $ram{$instance_id}{'base'};
1109
                                my $BOUNDRY = $ram{$instance_id}{'end'};
1110
                                if(defined $connect_id){
1111
                                        #print "id=$connect_id\n";
1112
                                        my $JTAG_INDEX= $jtagwb{$connect_id}{'index'};
1113 48 alirezamon
                                        my $JTAG_CHAIN= $jtagwb{$connect_id}{'chain'};
1114
                                        my $JTAG_VENDOR= $jtagwb{$connect_id}{'vendor_connect'};
1115
 
1116
                                        my $t="";
1117
                                        $t="-t $JTAG_CHAIN"if($JTAG_VENDOR =~ /XILINX_JTAG_WB/);
1118
 
1119
                                        if(defined $JTAG_INDEX){
1120 28 alirezamon
                                                        $v= $soc->object_get_attribute('global_param',$JTAG_INDEX);
1121
                                                        $JTAG_INDEX = $v if (defined $v);
1122 48 alirezamon
                                                        $prog= "$prog  \$JTAG_INTFC $t -n $JTAG_INDEX -s \"$OFSSET\" -e \"$BOUNDRY\" -i  \"$BINFILE\" -c";
1123 28 alirezamon
                                                        #print "prog= $prog\n";
1124
 
1125 48 alirezamon
                                        }else{
1126
                                                $prog= "$prog".'>&2 echo'." \"JTAG runtime programming is not enabled in  $instance_id\"\n";
1127 28 alirezamon
 
1128 48 alirezamon
                                        }
1129 42 alirezamon
 
1130
                                }else{
1131 48 alirezamon
                                        $prog= "$prog".'>&2 echo'."\"JTAG runtime programming is not enabled in  $instance_id\"\n";
1132 42 alirezamon
 
1133
                                }
1134 28 alirezamon
                        }
1135
 
1136
 
1137
                }
1138
 
1139
 
1140
        }
1141
 
1142
 
1143 25 alirezamon
my $lisence= get_license_header("readme");
1144
my $warning=autogen_warning();
1145
 
1146
 
1147 48 alirezamon
$wb_slaves = " \t\t NOTE: No wishbone slaves interface has been found in the design " if (!defined $wb_slaves);
1148
$wb_masters= " \t\t NOTE: No wishbone master interface has been found in the design " if (!defined $wb_masters);
1149 25 alirezamon
 
1150
my $readme="
1151
$warning
1152
$lisence
1153
 
1154
***********************
1155
**      Program the memories
1156
***********************
1157
 
1158
If the memory core and jtag_wb are connected to the same wishbone bus, you can program the memory using
1159
 
1160 45 alirezamon
        bash program.sh
1161 25 alirezamon
 
1162
 
1163
 
1164
***************************
1165
**      soc parameters
1166
***************************
1167
 
1168
$param
1169
 
1170
****************************
1171
**      wishbone bus(es)  info
1172
****************************
1173
        #slave interfaces:
1174 48 alirezamon
        #instance name,  interface name, connected to, base address, boundary address
1175 25 alirezamon
$wb_slaves
1176
 
1177
        #master interfaces:
1178
        #instance name,  interface name, connected to
1179
$wb_masters
1180
 
1181
****************************
1182
**      Jtag to wishbone interface (jtag_wb) info:
1183
****************************
1184
 
1185 48 alirezamon
        #instance name, instance name,  JTAG_INDEX
1186 25 alirezamon
$jtag
1187
 
1188
 
1189
";
1190
 
1191 28 alirezamon
 
1192
 
1193
 
1194
        return ($readme,$prog);
1195 25 alirezamon
 
1196
 
1197
 
1198
}
1199
 
1200
 
1201
 
1202 42 alirezamon
######################
1203
#   soc_generate_verilog
1204
#####################
1205 25 alirezamon
 
1206 48 alirezamon
sub soc_generate_verilator{
1207 42 alirezamon
        my ($soc,$sw_path,$name,$params_ref)= @_;
1208
        my $soc_name=$soc->object_get_attribute('soc_name');
1209
        my $top_ip=ip_gen->top_gen_new();
1210
        if(!defined $soc_name){$soc_name='soc'};
1211
 
1212
        my @instances=$soc->soc_get_all_instances();
1213
        my $io_sim_v;
1214 48 alirezamon
        my $top_io_short_all;
1215 42 alirezamon
        my $core_id= $soc->object_get_attribute('global_param','CORE_ID');
1216
        $core_id= 0 if(!defined $core_id);
1217 48 alirezamon
        my $param_as_in_v_all="\tparameter\tCORE_ID=$core_id,
1218 42 alirezamon
\tparameter\tSW_LOC=\"$sw_path\"\n,";
1219 25 alirezamon
 
1220 48 alirezamon
        my $param_pass_v_all="\t\t.CORE_ID(CORE_ID),\n\t\t.SW_LOC(SW_LOC)";
1221 42 alirezamon
        my $body_v;
1222
 
1223 48 alirezamon
        my ($param_v_all, $local_param_v_all, $wire_def_v_all, $inst_v_all, $plugs_assign_v_all, $sockets_assign_v_all,$io_full_v_all,$top_io_full_all,$system_v_all);
1224
        my ($src_io_full_all,$src_io_short_all);
1225 42 alirezamon
        my $wires=soc->new_wires();
1226
        my $intfc=interface->interface_new();
1227
 
1228
 
1229
        foreach my $id (@instances){
1230 48 alirezamon
                my ($param_v, $local_param_v, $wire_def_v, $inst_v, $plugs_assign_v, $sockets_assign_v,$io_full_v,$io_top_full_v,$io_sim_v,
1231
                $top_io_short,$param_as_in_v,$param_pass_v,$system_v,$assigned_ports,$top_io_pass,$src_io_short, $src_io_full)=gen_module_inst($id,$soc,$top_ip,$intfc,$wires,1);
1232 42 alirezamon
                my $inst        = $soc->soc_get_instance_name($id);
1233
                add_text_to_string(\$body_v,"/*******************\n*\n*\t$inst\n*\n*\n*********************/\n");
1234 48 alirezamon
                add_text_to_string(\$param_as_in_v_all,",\n$param_as_in_v")     if(defined ($param_as_in_v));
1235 42 alirezamon
                add_text_to_string(\$local_param_v_all,"$local_param_v\n")      if(defined($local_param_v));
1236 48 alirezamon
                add_text_to_string(\$param_pass_v_all,",\n$param_pass_v")       if(defined($param_pass_v));
1237 42 alirezamon
                add_text_to_string(\$wire_def_v_all,"$wire_def_v\n")                    if(defined($wire_def_v));
1238
                add_text_to_string(\$inst_v_all,$inst_v)                                                if(defined($inst_v));
1239
                add_text_to_string(\$plugs_assign_v_all,"$plugs_assign_v\n")    if(defined($plugs_assign_v));
1240
                add_text_to_string(\$sockets_assign_v_all,"$sockets_assign_v\n")if(defined($sockets_assign_v));
1241 48 alirezamon
                add_text_to_string(\$io_full_v_all,"$io_full_v\n")                              if(length($io_full_v)>3);
1242
                add_text_to_string(\$top_io_full_all,"$io_top_full_v\n")                if(length($io_top_full_v)>3);
1243
                add_text_to_string(\$src_io_full_all,"$src_io_full\n")                  if(length($src_io_full)>3);
1244
 
1245
                $top_io_short_all = (defined $top_io_short_all)? "$top_io_short_all,\n$top_io_short" : $top_io_short            if(defined($top_io_short));
1246
                $src_io_short_all = (defined $src_io_short_all)? "$src_io_short_all,\n$src_io_short" : $src_io_short            if(defined($src_io_short));
1247 42 alirezamon
                #print  "$param_v $local_param_v $wire_def_v $inst_v $plugs_assign_v $sockets_assign_v $io_full_v";
1248
 
1249
        }
1250 48 alirezamon
 
1251
 
1252
 
1253 42 alirezamon
        my ($addr_map,$addr_localparam,$module_addr_localparam)= generate_address_cmp($soc,$wires);
1254 25 alirezamon
 
1255 42 alirezamon
        #add functions
1256
        my $dir = Cwd::getcwd();
1257
        open my $file1, "<", "$dir/lib/verilog/functions.v" or die;
1258
        my $functions_all='';
1259
        while (my $f1 = readline ($file1)) {
1260
                 $functions_all="$functions_all $f1 ";
1261
        }
1262
        close($file1);
1263
        my $unused_wiers_v=assign_unconnected_wires($wires,$intfc);
1264 48 alirezamon
 
1265 42 alirezamon
 
1266
        $soc->object_add_attribute('top_ip',undef,$top_ip);
1267
        #print @assigned_wires;
1268 25 alirezamon
 
1269 42 alirezamon
        #generate topmodule
1270
        my $params_v="
1271
\tparameter\tCORE_ID=$core_id;
1272
\tparameter\tSW_LOC=\"$sw_path\";\n";
1273
 
1274
 
1275
        my %all_param=soc_get_all_parameters($soc);
1276
        my @order= soc_get_all_parameters_order($soc);
1277
 
1278
 
1279
 
1280
        #replace global parameters
1281
        my @list=sort keys%{$params_ref};
1282
        foreach my $p (@list){
1283
                 my %hash=%{$params_ref};
1284
                 $all_param{$p}= $hash{$p};
1285
        }
1286
 
1287
        foreach my $p (@order){
1288
                add_text_to_string(\$params_v,"\tlocalparam  $p = $all_param{$p};\n") if(defined $all_param{$p} );
1289
        }
1290
 
1291 48 alirezamon
 
1292
        $top_io_short_all=(defined $top_io_short_all)? "$top_io_short_all,\n$src_io_short_all" : $src_io_short_all;
1293
        $top_io_full_all=$top_io_full_all."\n$src_io_full_all";
1294
  #  $top_io_pass_all=$top_io_pass_all.",\n$clk_assigned_port"; 
1295
 
1296
        my $has_ni =check_for_ni($soc);
1297
    my $import = ($has_ni)? "\n\timport pronoc_pkg::*;\n" : "";
1298
 
1299 42 alirezamon
        my $verilator_v =  "
1300
/*********************
1301
                ${name}
1302
*********************/
1303
 
1304 48 alirezamon
module ${name} $import (\n $top_io_short_all\n);\n";
1305
        my $ins= gen_soc_instance_v_no_modfy($soc,$soc_name,$param_pass_v_all);
1306
$verilator_v.="
1307
$functions_all
1308
/* verilator lint_off WIDTH */
1309
$params_v
1310
/* verilator lint_on WIDTH */
1311
$top_io_full_all
1312
$ins
1313
";
1314
        my ($readme,$prog)=gen_system_info($soc,$param_as_in_v_all);
1315 42 alirezamon
        return ($verilator_v);
1316 25 alirezamon
 
1317
 
1318 42 alirezamon
}
1319 25 alirezamon
 
1320 42 alirezamon
 
1321 48 alirezamon
sub get_golal_param_v{
1322
        my $project_dir   = get_project_dir(); #mpsoc dir addr
1323
        my $paths_file= "$project_dir/mpsoc/perl_gui/lib/glob_params";
1324
        my $paramv='';
1325
        if (-f  $paths_file ){
1326
                my $self= do $paths_file;
1327
                my @parameters = object_get_attribute_order($self,'Parameters');
1328
                foreach my $p (@parameters) {
1329
                        my $v =object_get_attribute($self,'Parameters',$p);
1330
                        $paramv.="\t localparam  $p = $v;\n" if(defined $v);
1331
                }
1332
        }
1333
        return $paramv;
1334
}
1335 42 alirezamon
 
1336
 
1337
 
1338
 
1339 16 alirezamon
1;
1340
 
1341
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.