OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [pronoc_def.v] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
`ifndef PRONOC_DEF
2
`define PRONOC_DEF
3
 
4
    `timescale      1ns/1ps
5
 
6
        //`define SYNC_RESET_MODE       /* Reset is asynchronous by default. Uncomment this line for having synchronous reset*/
7
        //`define ACTIVE_LOW_RESET_MODE /* Reset is active high by deafult. Uncomment this line for having active low reset*/
8
 
9
 
10
 
11
        `ifdef SYNC_RESET_MODE
12
                        `define pronoc_clk_reset_edge  posedge clk
13
        `else
14
                `ifdef ACTIVE_LOW_RESET_MODE
15
                        `define pronoc_clk_reset_edge  posedge clk or negedge reset
16
                `else
17
                        `define pronoc_clk_reset_edge  posedge clk or posedge reset
18
                `endif
19
        `endif
20
 
21
 
22
 
23
 
24
        `ifdef ACTIVE_LOW_RESET_MODE
25
                        `define pronoc_reset !reset
26
                `else
27
                        `define pronoc_reset  reset
28
        `endif
29
 
30
 
31
 
32
    `ifdef USE_LIB
33
         `uselib lib=`USE_LIB
34
    `endif
35
 
36
 
37
 
38
`endif
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.