OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_emulate/] [rtl/] [reset_jtag.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
// megafunction wizard: %In-System Sources and Probes%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsource_probe 
5
 
6
// ============================================================
7
// File Name: reset_jtag.v
8
// Megafunction Name(s):
9
//                      altsource_probe
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 13.0.0 Build 156 04/24/2013 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2013 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module reset_jtag (
40
        probe,
41
        source);
42
 
43
        input   [0:0]  probe;
44
        output  [0:0]  source;
45
 
46
        wire [0:0] sub_wire0;
47
        wire [0:0] source = sub_wire0[0:0];
48
 
49
        altsource_probe altsource_probe_component (
50
                                .probe (probe),
51
                                .source (sub_wire0)
52
                                // synopsys translate_off
53
                                ,
54
                                .clrn (),
55
                                .ena (),
56
                                .ir_in (),
57
                                .ir_out (),
58
                                .jtag_state_cdr (),
59
                                .jtag_state_cir (),
60
                                .jtag_state_e1dr (),
61
                                .jtag_state_sdr (),
62
                                .jtag_state_tlr (),
63
                                .jtag_state_udr (),
64
                                .jtag_state_uir (),
65
                                .raw_tck (),
66
                                .source_clk (),
67
                                .source_ena (),
68
                                .tdi (),
69
                                .tdo (),
70
                                .usr1 ()
71
                                // synopsys translate_on
72
                                );
73
        defparam
74
                altsource_probe_component.enable_metastability = "NO",
75
                altsource_probe_component.instance_id = "RST",
76
                altsource_probe_component.probe_width = 1,
77
                altsource_probe_component.sld_auto_instance_index = "NO",
78
                altsource_probe_component.sld_instance_index = 127,
79
                altsource_probe_component.source_initial_value = " 0",
80
                altsource_probe_component.source_width = 1;
81
 
82
 
83
endmodule
84
 
85
// ============================================================
86
// CNX file retrieval info
87
// ============================================================
88
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
89
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
90
// Retrieval info: CONSTANT: ENABLE_METASTABILITY STRING "NO"
91
// Retrieval info: CONSTANT: INSTANCE_ID STRING "RST"
92
// Retrieval info: CONSTANT: PROBE_WIDTH NUMERIC "1"
93
// Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "NO"
94
// Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "127"
95
// Retrieval info: CONSTANT: SOURCE_INITIAL_VALUE STRING " 0"
96
// Retrieval info: CONSTANT: SOURCE_WIDTH NUMERIC "1"
97
// Retrieval info: USED_PORT: probe 0 0 1 0 INPUT NODEFVAL "probe[0..0]"
98
// Retrieval info: USED_PORT: source 0 0 1 0 OUTPUT NODEFVAL "source[0..0]"
99
// Retrieval info: CONNECT: @probe 0 0 1 0 probe 0 0 1 0
100
// Retrieval info: CONNECT: source 0 0 1 0 @source 0 0 1 0
101
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.v TRUE
102
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.inc FALSE
103
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.cmp FALSE
104
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.bsf FALSE
105
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag_inst.v FALSE
106
// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag_bb.v TRUE
107
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.