OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [noc_localparam.v] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
 
2
/**************************************************************************
3
**      WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT ARE LIKELY TO BE
4
**      OVERWRITTEN AND LOST. Rename this file if you wish to do any modification.
5
****************************************************************************/
6
 
7
 
8
/**********************************************************************
9
**      File: noc_localparam.v
10
**
11
**      Copyright (C) 2014-2019  Alireza Monemi
12
**
13
**      This file is part of ProNoC 1.9.1
14
**
15
**      ProNoC ( stands for Prototype Network-on-chip)  is free software:
16
**      you can redistribute it and/or modify it under the terms of the GNU
17
**      Lesser General Public License as published by the Free Software Foundation,
18
**      either version 2 of the License, or (at your option) any later version.
19
**
20
**      ProNoC is distributed in the hope that it will be useful, but WITHOUT
21
**      ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
22
**      or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
23
**      Public License for more details.
24
**
25
**      You should have received a copy of the GNU Lesser General Public
26
**      License along with ProNoC. If not, see <http:**www.gnu.org/licenses/>.
27
******************************************************************************/
28
 
29
 
30
        `ifdef   NOC_LOCAL_PARAM
31
 
32
 
33
 
34
 
35
//NoC parameters
36
        localparam TOPOLOGY="MESH";
37
        localparam T1=8;
38
        localparam T2=8;
39
        localparam T3=1;
40
        localparam V=2;
41
        localparam B=4;
42
        localparam LB=4;
43
        localparam Fpay=32;
44
        localparam ROUTE_NAME="XY";
45
        localparam PCK_TYPE="MULTI_FLIT";
46
        localparam MIN_PCK_SIZE=2;
47
        localparam BYTE_EN=0;
48
        localparam SSA_EN="NO";
49
        localparam SMART_MAX=0;
50
        localparam CONGESTION_INDEX=3;
51
        localparam ESCAP_VC_MASK=2'b01;
52
        localparam VC_REALLOCATION_TYPE="NONATOMIC";
53
        localparam COMBINATION_TYPE="COMB_NONSPEC";
54
        localparam MUX_TYPE="BINARY";
55
        localparam C=2;
56
        localparam DEBUG_EN=1;
57
        localparam ADD_PIPREG_AFTER_CROSSBAR=1'b0;
58
        localparam FIRST_ARBITER_EXT_P_EN=1;
59
        localparam SWA_ARBITER_TYPE="RRA";
60
        localparam WEIGHTw=4;
61
        localparam SELF_LOOP_EN="NO";
62
        localparam AVC_ATOMIC_EN=0;
63
        localparam CVw=(C==0)? V : C * V;
64
        localparam CLASS_SETTING={CVw{1'b1}};
65
   // localparam CAST_TYPE = "UNICAST";//"MULTICAST"; not yest supported
66
 
67
 
68
        //simulation parameter  
69
        //localparam MAX_RATIO = 1000;
70
        localparam MAX_PCK_NUM = 1000000000;
71
        localparam MAX_PCK_SIZ = 16383;
72
        localparam MAX_SIM_CLKs=  1000000000;
73
        localparam TIMSTMP_FIFO_NUM = 16;
74
 
75
 
76
 
77
 
78
 `endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.