OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [tree_noc_top.sv] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
`include "pronoc_def.v"
2 48 alirezamon
 
3
/**************************************
4
 * Module: tree
5
 * Date:2019-01-01
6
 * Author: alireza
7
 *
8
 *
9
Description:
10
 
11
    Tree
12
 
13
 ***************************************/
14
 
15
 
16
module  tree_noc_top
17
                import pronoc_pkg::*;
18
        (
19
                reset,
20
                clk,
21
                chan_in_all,
22 54 alirezamon
                chan_out_all,
23
                router_event
24 48 alirezamon
        );
25
 
26
 
27
        input   clk,reset;
28 54 alirezamon
        //Endpoints ports
29 48 alirezamon
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
30
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
31
 
32 54 alirezamon
        //Events
33
        output  router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
34
 
35 48 alirezamon
        //all routers port
36
        smartflit_chanel_t    router_chan_in   [NR-1 :0][MAX_P-1 : 0];
37
        smartflit_chanel_t    router_chan_out  [NR-1 :0][MAX_P-1 : 0];
38
 
39
 
40
 
41
        function integer addrencode;
42
                input integer pos,k,n,kw;
43
                integer pow,i,tmp;begin
44
                        addrencode=0;
45
                        pow=1;
46
                        for (i = 0; i 
47
                                tmp=(pos/pow);
48
                                tmp=tmp%k;
49
                                tmp=tmp<
50
                                addrencode=addrencode | tmp;
51
                                pow=pow * k;
52
                        end
53
                end
54
        endfunction
55
 
56
        localparam
57
                PV = V * MAX_P,
58
                PFw = MAX_P * Fw,
59
                NEFw = NE * Fw,
60
                NEV = NE * V,
61
                CONG_ALw = CONGw * MAX_P,
62
                PLKw = MAX_P * LKw,
63
                PLw = MAX_P * Lw,
64
                PRAw = MAX_P * RAw; // {layer , Pos} width
65
 
66
 
67
 
68
 
69
 
70
 
71
        wire [LKw-1 : 0] current_pos_addr [NR-1 :0];
72
        wire [Lw-1  : 0] current_layer_addr [NR-1 :0];
73
        wire [RAw-1 : 0] current_r_addr [NR-1 : 0];
74
 
75
 
76
 
77
 
78
        //add root
79
 
80
        localparam [Lw-1 : 0] ROOT_L = L-1;
81
        localparam ROOT_ID = 0;
82
 
83
        assign current_layer_addr [ROOT_ID] = ROOT_L;
84
        assign current_pos_addr [ROOT_ID] = {LKw{1'b0}};
85
        assign current_r_addr[ROOT_ID] = {current_layer_addr [ROOT_ID],current_pos_addr[ROOT_ID]};
86
 
87
 
88
        router_top # (
89
                        .P(K)
90
                )
91
                root_router
92
                (
93 54 alirezamon
                        .current_r_id    (ROOT_ID),
94 48 alirezamon
                        .current_r_addr  (current_r_addr [ROOT_ID]),
95
                        .chan_in         (router_chan_in [ROOT_ID][K-1:0]),
96
                        .chan_out        (router_chan_out[ROOT_ID][K-1:0]),
97 54 alirezamon
                        .router_event    (router_event[ROOT_ID][K-1 : 0]),
98 48 alirezamon
                        .clk             (clk            ),
99
                        .reset           (reset          )
100
                );
101
 
102
 
103
        genvar pos,level;
104
 
105
 
106
        //add leaves
107
        generate
108
                for( level=1; level
109
                        localparam NPOS1 = powi(K,level); // number of routers in this level
110
                        localparam NRATTOP1 = sum_powi ( K,level); // number of routers at top levels : from root until last level
111
                        for( pos=0; pos
112 54 alirezamon
                localparam RID = NRATTOP1+pos;
113 48 alirezamon
                                router_top # (
114
                                                .P(K+1)// leaves have K+1 port number
115
                                        )
116
                                        the_router
117
                                        (
118 54 alirezamon
                                                .current_r_id    (RID),
119
                                                .current_r_addr  (current_r_addr [RID]),
120
                                                .chan_in         (router_chan_in [RID]),
121
                                                .chan_out        (router_chan_out[RID]),
122
                                                .router_event    (router_event[RID]),
123 48 alirezamon
                                                .clk             (clk            ),
124
                                                .reset           (reset          )
125
                                        );
126
 
127
                        end//pos
128
                end // level
129
 
130
 
131
                //connect all up connections
132
                for (level = 1; level
133
                        localparam  NPOS = powi(K,level); // number of routers in this level
134
                        localparam L1 = L-1-level;
135
                        localparam level2= level - 1;
136
                        localparam L2 = L-1-level2;
137
                        for ( pos = 0; pos < NPOS; pos=pos+1 ) begin : pos_c
138
 
139
                                localparam ID1 = sum_powi ( K,level) + pos;
140
                                localparam FATTREE_EQ_POS1 = pos*(K**L1);
141
                                localparam ADR_CODE1=addrencode(FATTREE_EQ_POS1,K,L,Kw);
142
                                localparam POS2 = pos /K ;
143
                                localparam ID2 = sum_powi ( K,level-1) + (pos/K);
144
                                localparam PORT2= pos % K;
145
                                localparam FATTREE_EQ_POS2 = POS2*(K**L2);
146
                                localparam ADR_CODE2=addrencode(FATTREE_EQ_POS2,K,L,Kw);
147
 
148
                                // node_connection('Router[id1][k] to router[id2][pos%k];
149
                                assign  router_chan_in [ID1][K] = router_chan_out [ID2][PORT2];
150
                                assign  router_chan_in [ID2][PORT2] = router_chan_out [ID1][K];
151
 
152
                                assign current_layer_addr [ID1] = L1[Lw-1 : 0];
153
                                assign current_pos_addr [ID1] = ADR_CODE1 [LKw-1 : 0];
154
                                assign current_r_addr [ID1] = {current_layer_addr [ID1],current_pos_addr[ID1]};
155
 
156
 
157
                        end// pos
158
 
159
                end //level
160
 
161
 
162
                // connect endpoints
163
 
164
                for ( pos = 0; pos <  NE; pos=pos+1 ) begin : endpoints
165
                        //  node_connection T[pos] R[rid][pos %k];
166
                        localparam RID= sum_powi(K,L-1)+(pos/K);
167
                        localparam RPORT = pos%K;
168
 
169
                        //$dotfile=$dotfile.node_connection('T',$i,undef,undef,'R',$r,undef,$i%($k));
170
                        assign router_chan_in [RID][RPORT] =    chan_in_all [pos];
171
                        assign chan_out_all [pos] = router_chan_out [RID][RPORT];
172
 
173
                end
174
        endgenerate
175
 
176
 
177
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.