OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [clk_source/] [altera_pll_general.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
module altera_pll_general #(
2
        parameter DEVICE_FAMILY="Cyclone",
3
        parameter INPUT_FREQUENCY =50000,// input frequency in KHz      
4
        parameter CLKOUT_NUM=6,   // number of output clk 1-6
5
 
6
        parameter CLKOUT0_DUTY_CYCLE=50, // 0~100
7
        parameter CLKOUT0_DIVIDE = 1,
8
        parameter CLKOUT0_MULTIPLY=1,
9
        parameter CLKOUT0_PHASE="0",
10
 
11
        parameter CLKOUT1_DUTY_CYCLE=50, // 0~100
12
        parameter CLKOUT1_DIVIDE = 1,
13
        parameter CLKOUT1_MULTIPLY=1,
14
        parameter CLKOUT1_PHASE="0",
15
 
16
 
17
        parameter CLKOUT2_DUTY_CYCLE=50, // 0~100
18
        parameter CLKOUT2_DIVIDE = 1,
19
        parameter CLKOUT2_MULTIPLY=1,
20
        parameter CLKOUT2_PHASE="0",
21
 
22
 
23
        parameter CLKOUT3_DUTY_CYCLE=50, // 0~100
24
        parameter CLKOUT3_DIVIDE = 1,
25
        parameter CLKOUT3_MULTIPLY=1,
26
        parameter CLKOUT3_PHASE="0",
27
 
28
 
29
        parameter CLKOUT4_DUTY_CYCLE=50, // 0~100
30
        parameter CLKOUT4_DIVIDE = 1,
31
        parameter CLKOUT4_MULTIPLY=1,
32
        parameter CLKOUT4_PHASE="0",
33
 
34
        parameter CLKOUT5_DUTY_CYCLE=50, // 0~100
35
        parameter CLKOUT5_DIVIDE = 1,
36
        parameter CLKOUT5_MULTIPLY=1,
37
        parameter CLKOUT5_PHASE="0"
38
 
39
)(
40
        input clk_in,     // 1-bit input: Input clock 
41
        output [CLKOUT_NUM-1: 0] clk_out,
42
        output reset_out,     // 1-bit output: LOCK                                
43
        input reset_in           // 1-bit input: Reset                         
44
 
45
)
46
 
47
        wire locked;
48
        wire [1: 0 ] inclk = {1'b0,clk_in};
49
 
50
        localparam
51
                inclk0_input_frequency = INPUT_FREQUENCY/10,
52
                PORT_CLK0 = (CLKOUT_NUM>0)? "PORT_USED" : "PORT_UNUSED",
53
                PORT_CLK1 = (CLKOUT_NUM>1)? "PORT_USED" : "PORT_UNUSED",
54
                PORT_CLK2 = (CLKOUT_NUM>2)? "PORT_USED" : "PORT_UNUSED",
55
                PORT_CLK3 = (CLKOUT_NUM>3)? "PORT_USED" : "PORT_UNUSED",
56
                PORT_CLK4 = (CLKOUT_NUM>4)? "PORT_USED" : "PORT_UNUSED",
57
                PORT_CLK5 = (CLKOUT_NUM>5)? "PORT_USED" : "PORT_UNUSED";
58
 
59
 
60
 
61
 
62
        altpll #(
63
                .inclk0_input_frequency(inclk0_input_frequency),
64
                .width_clock(CLKOUT_NUM),
65
                .intended_device_family(DEVICE_FAMILY),
66
 
67
                .clk0_duty_cycle(CLKOUT0_DUTY_CYCLE),
68
                .clk0_multiply_by(CLKOUT0_MULTIPLY),
69
                .clk0_divide_by(CLKOUT0_DIVIDE),
70
                .clk0_phase_shift(CLKOUT0_PHASE),
71
 
72
                .clk1_duty_cycle(CLKOUT1_DUTY_CYCLE),
73
                .clk1_multiply_by(CLKOUT1_MULTIPLY),
74
                .clk1_divide_by(CLKOUT1_DIVIDE),
75
                .clk1_phase_shift(CLKOUT1_PHASE),
76
 
77
                .clk2_duty_cycle(CLKOUT2_DUTY_CYCLE),
78
                .clk2_multiply_by(CLKOUT2_MULTIPLY),
79
                .clk2_divide_by(CLKOUT2_DIVIDE),
80
                .clk2_phase_shift(CLKOUT2_PHASE),
81
 
82
                .clk3_duty_cycle(CLKOUT3_DUTY_CYCLE),
83
                .clk3_multiply_by(CLKOUT3_MULTIPLY),
84
                .clk3_divide_by(CLKOUT3_DIVIDE),
85
                .clk3_phase_shift(CLKOUT3_PHASE),
86
 
87
                .clk4_duty_cycle(CLKOUT4_DUTY_CYCLE),
88
                .clk4_multiply_by(CLKOUT4_MULTIPLY),
89
                .clk4_divide_by(CLKOUT4_DIVIDE),
90
                .clk4_phase_shift(CLKOUT4_PHASE),
91
 
92
                .clk5_duty_cycle(CLKOUT5_DUTY_CYCLE),
93
                .clk5_multiply_by(CLKOUT5_MULTIPLY),
94
                .clk5_divide_by(CLKOUT5_DIVIDE),
95
                .clk5_phase_shift(CLKOUT5_PHASE),
96
 
97
                .bandwidth_type("AUTO"),
98
                .compensate_clock("CLK0"),
99
                .operation_mode("NORMAL"),
100
 
101
                .port_areset ( "PORT_USED"),
102
                .port_inclk0 ( "PORT_USED"),
103
                .port_locked ( "PORT_USED"),
104
 
105
                .port_clk0 ( PORT_CLK0 ),
106
                .port_clk1 ( PORT_CLK1 ),
107
                .port_clk2 ( PORT_CLK2 ),
108
                .port_clk3 ( PORT_CLK3 ),
109
                .port_clk4 ( PORT_CLK4 ),
110
                .port_clk5 ( PORT_CLK5 ),
111
 
112
                .port_activeclock ( "PORT_UNUSED"),
113
                .port_clkbad0 ( "PORT_UNUSED"),
114
                .port_clkbad1 ( "PORT_UNUSED"),
115
                .port_clkloss ( "PORT_UNUSED"),
116
                .port_clkswitch ( "PORT_UNUSED"),
117
                .port_configupdate ( "PORT_UNUSED"),
118
                .port_fbin ( "PORT_UNUSED"),
119
                .port_inclk1 ( "PORT_UNUSED"),
120
                .port_pfdena ( "PORT_UNUSED"),
121
                .port_phasecounterselect ( "PORT_UNUSED"),
122
                .port_phasedone ( "PORT_UNUSED"),
123
                .port_phasestep ( "PORT_UNUSED"),
124
                .port_phaseupdown ( "PORT_UNUSED"),
125
                .port_pllena ( "PORT_UNUSED"),
126
                .port_scanaclr ( "PORT_UNUSED"),
127
                .port_scanclk ( "PORT_UNUSED"),
128
                .port_scanclkena ( "PORT_UNUSED"),
129
                .port_scandata ( "PORT_UNUSED"),
130
                .port_scandataout ( "PORT_UNUSED"),
131
                .port_scandone ( "PORT_UNUSED"),
132
                .port_scanread ( "PORT_UNUSED"),
133
                .port_scanwrite ( "PORT_UNUSED"),
134
                .port_clkena0 ( "PORT_UNUSED"),
135
                .port_clkena1 ( "PORT_UNUSED"),
136
                .port_clkena2 ( "PORT_UNUSED"),
137
                .port_clkena3 ( "PORT_UNUSED"),
138
                .port_clkena4 ( "PORT_UNUSED"),
139
                .port_clkena5 ( "PORT_UNUSED"),
140
                .port_extclk0 ( "PORT_UNUSED"),
141
                .port_extclk1 ( "PORT_UNUSED"),
142
                .port_extclk2 ( "PORT_UNUSED"),
143
                .port_extclk3 ( "PORT_UNUSED"),
144
 
145
 
146
 
147
 
148
 
149
 
150
 
151
 
152
 
153
 
154
 
155
 
156
 
157
 
158
 
159
 
160
        )
161
        altera_pll_i
162
        (
163
                .inclk(inclk),
164
                .clk(clk_out),
165
                .locked (locked),
166
                .areset(reset_in)
167
 
168
        );
169
 
170
        assign reset_out =~locked;
171
 
172
 
173
endmodule
174
 
175
 
176
 
177
 
178
 
179
 
180
 
181
 
182
 
183
 
184
// megafunction wizard: %ALTPLL%
185
// GENERATION: STANDARD
186
// VERSION: WM1.0
187
// MODULE: altpll 
188
 
189
// ============================================================
190
// File Name: pll.v
191
// Megafunction Name(s):
192
//                      altpll
193
// ============================================================
194
// ************************************************************
195
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
196
//
197
// 4.0 Build 214 3/25/2004 SP 1 SJ Web Edition
198
// ************************************************************
199
 
200
 
201
//Copyright (C) 1991-2004 Altera Corporation
202
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
203
//support information,  device programming or simulation file,  and any other
204
//associated  documentation or information  provided by  Altera  or a partner
205
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
206
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
207
//other  use  of such  megafunction  design,  netlist,  support  information,
208
//device programming or simulation file,  or any other  related documentation
209
//or information  is prohibited  for  any  other purpose,  including, but not
210
//limited to  modification,  reverse engineering,  de-compiling, or use  with
211
//any other  silicon devices,  unless such use is  explicitly  licensed under
212
//a separate agreement with  Altera  or a megafunction partner.  Title to the
213
//intellectual property,  including patents,  copyrights,  trademarks,  trade
214
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
215
//support  information,  device programming or simulation file,  or any other
216
//related documentation or information provided by  Altera  or a megafunction
217
//partner, remains with Altera, the megafunction partner, or their respective
218
//licensors. No other licenses, including any licenses needed under any third
219
//party's intellectual property, are provided herein.
220
 
221
 
222
// synopsys translate_off
223
`timescale 1 ps / 1 ps
224
// synopsys translate_on
225
module pll (
226
        inclk0,
227
        c0);
228
 
229
        input     inclk0;
230
        output    c0;
231
 
232
        wire [5:0] sub_wire0;
233
        wire [0:0] sub_wire4 = 1'h0;
234
        wire [0:0] sub_wire1 = sub_wire0[0:0];
235
        wire  c0 = sub_wire1;
236
        wire  sub_wire2 = inclk0;
237
        wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
238
 
239
        altpll  altpll_component (
240
                                .inclk (sub_wire3),
241
                                .clk (sub_wire0)
242
                                // synopsys translate_off
243
,
244
                                .fbin (),
245
                                .pllena (),
246
                                .clkswitch (),
247
                                .areset (),
248
                                .pfdena (),
249
                                .clkena (),
250
                                .extclkena (),
251
                                .scanclk (),
252
                                .scanaclr (),
253
                                .scandata (),
254
                                .scanread (),
255
                                .scanwrite (),
256
                                .extclk (),
257
                                .clkbad (),
258
                                .activeclock (),
259
                                .locked (),
260
                                .clkloss (),
261
                                .scandataout (),
262
                                .scandone (),
263
                                .sclkout1 (),
264
                                .sclkout0 (),
265
                                .enable0 (),
266
                                .enable1 ()
267
                                // synopsys translate_on
268
 
269
);
270
        defparam
271
                altpll_component.clk0_duty_cycle = 50,
272
                altpll_component.lpm_type = "altpll",
273
                altpll_component.clk0_multiply_by = 1,
274
                altpll_component.inclk0_input_frequency = 20833,
275
                altpll_component.clk0_divide_by = 1,
276
                altpll_component.pll_type = "AUTO",
277
                altpll_component.clk0_time_delay = "0",
278
                altpll_component.intended_device_family = "Cyclone",
279
                altpll_component.operation_mode = "NORMAL",
280
                altpll_component.compensate_clock = "CLK0",
281
                altpll_component.clk0_phase_shift = "-3000";
282
 
283
 
284
endmodule
285
 
286
// ============================================================
287
// CNX file retrieval info
288
// ============================================================
289
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
290
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns"
291
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
292
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
293
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
294
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
295
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
296
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
297
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
298
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
299
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-3.00000000"
300
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
301
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
302
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
303
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
304
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
305
// Retrieval info: PRIVATE: TIME_SHIFT0 STRING "0.00000000"
306
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
307
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
308
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
309
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
310
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
311
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
312
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
313
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
314
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
315
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
316
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
317
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
318
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
319
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
320
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
321
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
322
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
323
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
324
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
325
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
326
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
327
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "inclk;fbin;pllena;clkswitch;areset"
328
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
329
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
330
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
331
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "pfdena;clkena;extclkena;scanclk;scanaclr"
332
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
333
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
334
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
335
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
336
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
337
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "scandata;scanread;scanwrite;clk;extclk"
338
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
339
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
340
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
341
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "528.000"
342
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_3 STRING "clkbad;activeclock;locked;clkloss;scandataout"
343
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
344
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
345
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
346
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "48.000"
347
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_4 STRING "scandone;sclkout1;sclkout0;enable0;enable1"
348
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
349
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
350
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000"
351
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
352
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
353
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
354
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
355
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
356
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
357
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
358
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
359
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
360
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
361
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
362
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
363
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
364
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
365
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
366
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
367
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
368
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
369
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
370
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20833"
371
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
372
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
373
// Retrieval info: CONSTANT: CLK0_TIME_DELAY STRING "0"
374
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
375
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
376
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
377
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-3000"
378
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
379
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
380
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
381
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
382
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
383
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
384
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
385
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE FALSE
386
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE FALSE
387
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE FALSE
388
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE FALSE
389
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v TRUE FALSE
390
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v TRUE FALSE
391
 
392
 
393
 
394
 
395
// megafunction wizard: %ALTPLL%
396
// GENERATION: STANDARD
397
// VERSION: WM1.0
398
// MODULE: altpll 
399
 
400
// ============================================================
401
// File Name: amiga_clk_altera.v
402
// Megafunction Name(s):
403
//                      altpll
404
//
405
// Simulation Library Files(s):
406
//                      altera_mf
407
// ============================================================
408
// ************************************************************
409
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
410
//
411
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
412
// ************************************************************
413
 
414
 
415
//Copyright (C) 1991-2013 Altera Corporation
416
//Your use of Altera Corporation's design tools, logic functions 
417
//and other software and tools, and its AMPP partner logic 
418
//functions, and any output files from any of the foregoing 
419
//(including device programming or simulation files), and any 
420
//associated documentation or information are expressly subject 
421
//to the terms and conditions of the Altera Program License 
422
//Subscription Agreement, Altera MegaCore Function License 
423
//Agreement, or other applicable license agreement, including, 
424
//without limitation, that your use is for the sole purpose of 
425
//programming logic devices manufactured by Altera and sold by 
426
//Altera or its authorized distributors.  Please refer to the 
427
//applicable agreement for further details.
428
 
429
 
430
// synopsys translate_off
431
`timescale 1 ps / 1 ps
432
// synopsys translate_on
433
module amiga_clk_altera (
434
        areset,
435
        inclk0,
436
        c0,
437
        c1,
438
        c2,
439
        locked);
440
 
441
        input     areset;
442
        input     inclk0;
443
        output    c0;
444
        output    c1;
445
        output    c2;
446
        output    locked;
447
`ifndef ALTERA_RESERVED_QIS
448
// synopsys translate_off
449
`endif
450
        tri0      areset;
451
`ifndef ALTERA_RESERVED_QIS
452
// synopsys translate_on
453
`endif
454
 
455
        wire [4:0] sub_wire0;
456
        wire  sub_wire2;
457
        wire [0:0] sub_wire7 = 1'h0;
458
        wire [2:2] sub_wire4 = sub_wire0[2:2];
459
        wire [0:0] sub_wire3 = sub_wire0[0:0];
460
        wire [1:1] sub_wire1 = sub_wire0[1:1];
461
        wire  c1 = sub_wire1;
462
        wire  locked = sub_wire2;
463
        wire  c0 = sub_wire3;
464
        wire  c2 = sub_wire4;
465
        wire  sub_wire5 = inclk0;
466
        wire [1:0] sub_wire6 = {sub_wire7, sub_wire5};
467
 
468
        altpll  altpll_component (
469
                                .areset (areset),
470
                                .inclk (sub_wire6),
471
                                .clk (sub_wire0),
472
                                .locked (sub_wire2),
473
                                .activeclock (),
474
                                .clkbad (),
475
                                .clkena ({6{1'b1}}),
476
                                .clkloss (),
477
                                .clkswitch (1'b0),
478
                                .configupdate (1'b0),
479
                                .enable0 (),
480
                                .enable1 (),
481
                                .extclk (),
482
                                .extclkena ({4{1'b1}}),
483
                                .fbin (1'b1),
484
                                .fbmimicbidir (),
485
                                .fbout (),
486
                                .fref (),
487
                                .icdrclk (),
488
                                .pfdena (1'b1),
489
                                .phasecounterselect ({4{1'b1}}),
490
                                .phasedone (),
491
                                .phasestep (1'b1),
492
                                .phaseupdown (1'b1),
493
                                .pllena (1'b1),
494
                                .scanaclr (1'b0),
495
                                .scanclk (1'b0),
496
                                .scanclkena (1'b1),
497
                                .scandata (1'b0),
498
                                .scandataout (),
499
                                .scandone (),
500
                                .scanread (1'b0),
501
                                .scanwrite (1'b0),
502
                                .sclkout0 (),
503
                                .sclkout1 (),
504
                                .vcooverrange (),
505
                                .vcounderrange ());
506
        defparam
507
                altpll_component.bandwidth_type = "LOW",
508
                altpll_component.clk0_divide_by = 4,
509
                altpll_component.clk0_duty_cycle = 50,
510
                altpll_component.clk0_multiply_by = 17,
511
                altpll_component.clk0_phase_shift = "-3540",
512
                altpll_component.clk1_divide_by = 4,
513
                altpll_component.clk1_duty_cycle = 50,
514
                altpll_component.clk1_multiply_by = 17,
515
                altpll_component.clk1_phase_shift = "0",
516
                altpll_component.clk2_divide_by = 16,
517
                altpll_component.clk2_duty_cycle = 50,
518
                altpll_component.clk2_multiply_by = 17,
519
                altpll_component.clk2_phase_shift = "0",
520
                altpll_component.compensate_clock = "CLK0",
521
                altpll_component.inclk0_input_frequency = 37037,
522
                altpll_component.intended_device_family = "Cyclone III",
523
                altpll_component.lpm_hint = "CBX_MODULE_PREFIX=amiga_clk_altera",
524
                altpll_component.lpm_type = "altpll",
525
                altpll_component.operation_mode = "ZERO_DELAY_BUFFER",
526
                altpll_component.pll_type = "AUTO",
527
                altpll_component.port_activeclock = "PORT_UNUSED",
528
                altpll_component.port_areset = "PORT_USED",
529
                altpll_component.port_clkbad0 = "PORT_UNUSED",
530
                altpll_component.port_clkbad1 = "PORT_UNUSED",
531
                altpll_component.port_clkloss = "PORT_UNUSED",
532
                altpll_component.port_clkswitch = "PORT_UNUSED",
533
                altpll_component.port_configupdate = "PORT_UNUSED",
534
                altpll_component.port_fbin = "PORT_UNUSED",
535
                altpll_component.port_inclk0 = "PORT_USED",
536
                altpll_component.port_inclk1 = "PORT_UNUSED",
537
                altpll_component.port_locked = "PORT_USED",
538
                altpll_component.port_pfdena = "PORT_UNUSED",
539
                altpll_component.port_phasecounterselect = "PORT_UNUSED",
540
                altpll_component.port_phasedone = "PORT_UNUSED",
541
                altpll_component.port_phasestep = "PORT_UNUSED",
542
                altpll_component.port_phaseupdown = "PORT_UNUSED",
543
                altpll_component.port_pllena = "PORT_UNUSED",
544
                altpll_component.port_scanaclr = "PORT_UNUSED",
545
                altpll_component.port_scanclk = "PORT_UNUSED",
546
                altpll_component.port_scanclkena = "PORT_UNUSED",
547
                altpll_component.port_scandata = "PORT_UNUSED",
548
                altpll_component.port_scandataout = "PORT_UNUSED",
549
                altpll_component.port_scandone = "PORT_UNUSED",
550
                altpll_component.port_scanread = "PORT_UNUSED",
551
                altpll_component.port_scanwrite = "PORT_UNUSED",
552
                altpll_component.port_clk0 = "PORT_USED",
553
                altpll_component.port_clk1 = "PORT_USED",
554
                altpll_component.port_clk2 = "PORT_USED",
555
                altpll_component.port_clk3 = "PORT_UNUSED",
556
                altpll_component.port_clk4 = "PORT_UNUSED",
557
                altpll_component.port_clk5 = "PORT_UNUSED",
558
                altpll_component.port_clkena0 = "PORT_UNUSED",
559
                altpll_component.port_clkena1 = "PORT_UNUSED",
560
                altpll_component.port_clkena2 = "PORT_UNUSED",
561
                altpll_component.port_clkena3 = "PORT_UNUSED",
562
                altpll_component.port_clkena4 = "PORT_UNUSED",
563
                altpll_component.port_clkena5 = "PORT_UNUSED",
564
                altpll_component.port_extclk0 = "PORT_UNUSED",
565
                altpll_component.port_extclk1 = "PORT_UNUSED",
566
                altpll_component.port_extclk2 = "PORT_UNUSED",
567
                altpll_component.port_extclk3 = "PORT_UNUSED",
568
                altpll_component.self_reset_on_loss_lock = "OFF",
569
                altpll_component.width_clock = 5;
570
 
571
 
572
endmodule
573
 
574
/*****************************
575
 
576
 
577
 
578
***************************/
579
 
580
 
581
 
582
module altpll (
583
// INTERFACE BEGIN
584
        inclk, fbin, pllena, clkswitch, areset, pfdena, clkena, extclkena,
585
        scanclk, scanaclr, scandata, scanread, scanwrite, clk, extclk, clkbad,
586
        activeclock, clkloss, locked ,scandataout, scandone, sclkout0, sclkout1,
587
        enable0, enable1, configupdate, fbout, phasecounterselect, phasedone,
588
        phasestep, phaseupdown, scanclkena, vcooverrange, vcounderrange, fbmimicbidir, fref, icdrclk
589
);
590
 
591
// INTERFACE END
592
//// default parameters ////
593
 
594
        parameter intended_device_family = "NONE";
595
        parameter operation_mode = "unused";
596
        parameter pll_type = "AUTO";
597
        parameter qualify_conf_done = "OFF";
598
        parameter compensate_clock = "CLK0";
599
        parameter scan_chain = "LONG";
600
        parameter primary_clock = "INCLK0";
601
        parameter inclk0_input_frequency = 1;
602
        parameter inclk1_input_frequency = 0;
603
        parameter gate_lock_signal = "NO";
604
        parameter gate_lock_counter = 0;
605
        parameter lock_high = 1;
606
        parameter lock_low = 1;
607
        parameter valid_lock_multiplier = 1;
608
        parameter invalid_lock_multiplier = 5;
609
        parameter switch_over_type = "AUTO";
610
        parameter switch_over_on_lossclk = "OFF" ;
611
        parameter switch_over_on_gated_lock = "OFF" ;
612
        parameter switch_over_counter = 0;
613
        parameter enable_switch_over_counter = "OFF";
614
        parameter feedback_source = "EXTCLK0" ;
615
        parameter bandwidth = 0;
616
        parameter bandwidth_type = "AUTO";
617
        parameter spread_frequency = 0;
618
        parameter down_spread = "0";
619
        parameter simulation_type = "functional";
620
        parameter source_is_pll = "off";
621
        parameter skip_vco = "OFF";
622
        parameter clk5_multiply_by = 1;
623
        parameter clk4_multiply_by = 1;
624
        parameter clk3_multiply_by = 1;
625
        parameter clk2_multiply_by = 1;
626
        parameter clk1_multiply_by = 1;
627
        parameter clk0_multiply_by = 1;
628
        parameter clk5_divide_by = 1;
629
        parameter clk4_divide_by = 1;
630
        parameter clk3_divide_by = 1;
631
        parameter clk2_divide_by = 1;
632
        parameter clk1_divide_by = 1;
633
        parameter clk0_divide_by = 1;
634
        parameter clk5_phase_shift = "0";
635
        parameter clk4_phase_shift = "0";
636
        parameter clk3_phase_shift = "0";
637
        parameter clk2_phase_shift = "0";
638
        parameter clk1_phase_shift = "0";
639
        parameter clk0_phase_shift = "0";
640
        parameter clk5_time_delay = "0";
641
        parameter clk4_time_delay = "0";
642
        parameter clk3_time_delay = "0";
643
        parameter clk2_time_delay = "0";
644
        parameter clk1_time_delay = "0";
645
        parameter clk0_time_delay = "0";
646
        parameter clk5_duty_cycle = 50;
647
        parameter clk4_duty_cycle = 50;
648
        parameter clk3_duty_cycle = 50;
649
        parameter clk2_duty_cycle = 50;
650
        parameter clk1_duty_cycle = 50;
651
        parameter clk0_duty_cycle = 50;
652
        parameter extclk3_multiply_by = 1;
653
        parameter extclk2_multiply_by = 1;
654
        parameter extclk1_multiply_by = 1;
655
        parameter extclk0_multiply_by = 1;
656
        parameter extclk3_divide_by = 1;
657
        parameter extclk2_divide_by = 1;
658
        parameter extclk1_divide_by = 1;
659
        parameter extclk0_divide_by = 1;
660
        parameter extclk3_phase_shift = "0";
661
        parameter extclk2_phase_shift = "0";
662
        parameter extclk1_phase_shift = "0";
663
        parameter extclk0_phase_shift = "0";
664
        parameter extclk3_time_delay = "0";
665
        parameter extclk2_time_delay = "0";
666
        parameter extclk1_time_delay = "0";
667
        parameter extclk0_time_delay = "0";
668
        parameter extclk3_duty_cycle = 50;
669
        parameter extclk2_duty_cycle = 50;
670
        parameter extclk1_duty_cycle = 50;
671
        parameter extclk0_duty_cycle = 50;
672
        parameter vco_min = 0;
673
        parameter vco_max = 0;
674
        parameter vco_center = 0;
675
        parameter pfd_min = 0;
676
        parameter pfd_max = 0;
677
        parameter m_initial = 0;
678
        parameter m = 0;
679
        parameter n = 1;
680
        parameter m2 = 1;
681
        parameter n2 = 1;
682
        parameter ss = 1;
683
        parameter l0_high = 1;
684
        parameter l1_high = 1;
685
        parameter g0_high = 1;
686
        parameter g1_high = 1;
687
        parameter g2_high = 1;
688
        parameter g3_high = 1;
689
        parameter e0_high = 1;
690
        parameter e1_high = 1;
691
        parameter e2_high = 1;
692
        parameter e3_high = 1;
693
        parameter l0_low = 1;
694
        parameter l1_low = 1;
695
        parameter g0_low = 1;
696
        parameter g1_low = 1;
697
        parameter g2_low = 1;
698
        parameter g3_low = 1;
699
        parameter e0_low = 1;
700
        parameter e1_low = 1;
701
        parameter e2_low = 1;
702
        parameter e3_low = 1;
703
        parameter l0_initial = 1;
704
        parameter l1_initial = 1;
705
        parameter g0_initial = 1;
706
        parameter g1_initial = 1;
707
        parameter g2_initial = 1;
708
        parameter g3_initial = 1;
709
        parameter e0_initial = 1;
710
        parameter e1_initial = 1;
711
        parameter e2_initial = 1;
712
        parameter e3_initial = 1;
713
        parameter l0_mode = "BYPASS" ;
714
        parameter l1_mode = "BYPASS" ;
715
        parameter g0_mode = "BYPASS" ;
716
        parameter g1_mode = "BYPASS" ;
717
        parameter g2_mode = "BYPASS" ;
718
        parameter g3_mode = "BYPASS" ;
719
        parameter e0_mode = "BYPASS" ;
720
        parameter e1_mode = "BYPASS" ;
721
        parameter e2_mode = "BYPASS" ;
722
        parameter e3_mode = "BYPASS" ;
723
        parameter l0_ph = 0;
724
        parameter l1_ph = 0;
725
        parameter g0_ph = 0;
726
        parameter g1_ph = 0;
727
        parameter g2_ph = 0;
728
        parameter g3_ph = 0;
729
        parameter e0_ph = 0;
730
        parameter e1_ph = 0;
731
        parameter e2_ph = 0;
732
        parameter e3_ph = 0;
733
        parameter m_ph = 0;
734
        parameter l0_time_delay = 0;
735
        parameter l1_time_delay = 0;
736
        parameter g0_time_delay = 0;
737
        parameter g1_time_delay = 0;
738
        parameter g2_time_delay = 0;
739
        parameter g3_time_delay = 0;
740
        parameter e0_time_delay = 0;
741
        parameter e1_time_delay = 0;
742
        parameter e2_time_delay = 0;
743
        parameter e3_time_delay = 0;
744
        parameter m_time_delay = 0;
745
        parameter n_time_delay = 0;
746
        parameter extclk3_counter = "E3" ;
747
        parameter extclk2_counter = "E2" ;
748
        parameter extclk1_counter = "E1" ;
749
        parameter extclk0_counter = "E0" ;
750
        parameter clk5_counter = "G0" ;
751
        parameter clk4_counter = "G0" ;
752
        parameter clk3_counter = "G0" ;
753
        parameter clk2_counter = "G0" ;
754
        parameter clk1_counter = "G0" ;
755
        parameter clk0_counter = "G0" ;
756
        parameter charge_pump_current = 2;
757
        parameter loop_filter_r = " 1.000000";
758
        parameter loop_filter_c = 5;
759
        parameter lpm_type = "altpll";
760
        parameter lpm_hint = "UNUSED";
761
        parameter c0_high = 0;
762
        parameter c0_initial = 0;
763
        parameter c0_low = 0;
764
        parameter c0_mode = "BYPASS";
765
        parameter c0_ph = 0;
766
        parameter c1_high = 0;
767
        parameter c1_initial = 0;
768
        parameter c1_low = 0;
769
        parameter c1_mode = "BYPASS";
770
        parameter c1_ph = 0;
771
        parameter c1_use_casc_in = "OFF";
772
        parameter c2_high = 0;
773
        parameter c2_initial = 0;
774
        parameter c2_low = 0;
775
        parameter c2_mode = "BYPASS";
776
        parameter c2_ph = 0;
777
        parameter c2_use_casc_in = "OFF";
778
        parameter c3_high = 0;
779
        parameter c3_initial = 0;
780
        parameter c3_low = 0;
781
        parameter c3_mode = "BYPASS";
782
        parameter c3_ph = 0;
783
        parameter c3_use_casc_in = "OFF";
784
        parameter c4_high = 0;
785
        parameter c4_initial = 0;
786
        parameter c4_low = 0;
787
        parameter c4_mode = "BYPASS";
788
        parameter c4_ph = 0;
789
        parameter c4_use_casc_in = "OFF";
790
        parameter c5_high = 0;
791
        parameter c5_initial = 0;
792
        parameter c5_low = 0;
793
        parameter c5_mode = "BYPASS";
794
        parameter c5_ph = 0;
795
        parameter c5_use_casc_in = "OFF";
796
        parameter enable0_counter = "L0";
797
        parameter enable1_counter = "L0";
798
        parameter sclkout0_phase_shift = "0";
799
        parameter sclkout1_phase_shift = "0";
800
        parameter vco_divide_by = 0;
801
        parameter vco_multiply_by = 0;
802
        parameter vco_post_scale = 0;
803
        parameter clk0_output_frequency = 0;
804
        parameter clk1_output_frequency = 0;
805
        parameter clk2_output_frequency = 0;
806
        parameter c0_test_source = 5;
807
        parameter c1_test_source = 5;
808
        parameter c2_test_source = 5;
809
        parameter c3_test_source = 5;
810
        parameter c4_test_source = 5;
811
        parameter c5_test_source = 5;
812
        parameter m_test_source = 5;
813
 
814
        parameter port_activeclock = "PORT_CONNECTIVITY";
815
        parameter port_clkbad0 = "PORT_CONNECTIVITY";
816
        parameter port_clkbad1 = "PORT_CONNECTIVITY";
817
        parameter port_clkena0 = "PORT_CONNECTIVITY";
818
        parameter port_clkena1 = "PORT_CONNECTIVITY";
819
        parameter port_clkena2 = "PORT_CONNECTIVITY";
820
        parameter port_clkena3 = "PORT_CONNECTIVITY";
821
        parameter port_clkena4 = "PORT_CONNECTIVITY";
822
        parameter port_clkena5 = "PORT_CONNECTIVITY";
823
        parameter port_clkloss = "PORT_CONNECTIVITY";
824
        parameter port_extclk0 = "PORT_CONNECTIVITY";
825
        parameter port_extclk1 = "PORT_CONNECTIVITY";
826
        parameter port_extclk2 = "PORT_CONNECTIVITY";
827
        parameter port_extclk3 = "PORT_CONNECTIVITY";
828
        parameter port_extclkena0 = "PORT_CONNECTIVITY";
829
        parameter port_extclkena1 = "PORT_CONNECTIVITY";
830
        parameter port_extclkena2 = "PORT_CONNECTIVITY";
831
        parameter port_extclkena3 = "PORT_CONNECTIVITY";
832
 
833
        parameter port_areset = "PORT_CONNECTIVITY";
834
        parameter port_clk0 = "PORT_CONNECTIVITY";
835
        parameter port_clk1 = "PORT_CONNECTIVITY";
836
        parameter port_clk2 = "PORT_CONNECTIVITY";
837
        parameter port_clk3 = "PORT_CONNECTIVITY";
838
        parameter port_clk4 = "PORT_CONNECTIVITY";
839
        parameter port_clk5 = "PORT_CONNECTIVITY";
840
        parameter port_clk6 = "PORT_CONNECTIVITY";
841
        parameter port_clk7 = "PORT_CONNECTIVITY";
842
        parameter port_clk8 = "PORT_CONNECTIVITY";
843
        parameter port_clk9 = "PORT_CONNECTIVITY";
844
        parameter port_clkswitch = "PORT_CONNECTIVITY";
845
        parameter port_enable0 = "PORT_CONNECTIVITY";
846
        parameter port_enable1 = "PORT_CONNECTIVITY";
847
        parameter port_fbin = "PORT_CONNECTIVITY";
848
        parameter port_inclk0 = "PORT_CONNECTIVITY";
849
        parameter port_inclk1 = "PORT_CONNECTIVITY";
850
        parameter port_pfdena = "PORT_CONNECTIVITY";
851
        parameter port_pllena = "PORT_CONNECTIVITY";
852
        parameter port_scanaclr = "PORT_CONNECTIVITY";
853
        parameter port_scanclk = "PORT_CONNECTIVITY";
854
        parameter port_scandata = "PORT_CONNECTIVITY";
855
        parameter port_scandataout = "PORT_CONNECTIVITY";
856
        parameter port_scandone = "PORT_CONNECTIVITY";
857
        parameter port_scanread = "PORT_CONNECTIVITY";
858
        parameter port_scanwrite = "PORT_CONNECTIVITY";
859
        parameter port_sclkout0 = "PORT_CONNECTIVITY";
860
        parameter port_sclkout1 = "PORT_CONNECTIVITY";
861
        parameter self_reset_on_gated_loss_lock = "OFF";
862
        parameter port_locked = "PORT_CONNECTIVITY";
863
        parameter port_vcooverrange = "PORT_CONNECTIVITY";
864
        parameter port_vcounderrange = "PORT_CONNECTIVITY";
865
        parameter width_phasecounterselect = 4;
866
 
867
 
868
 
869
        parameter c6_high = 0;
870
        parameter c6_initial = 0;
871
        parameter c6_low = 0;
872
        parameter c6_mode = "BYPASS";
873
        parameter c6_ph = 0;
874
        parameter c6_test_source = 5;
875
        parameter c6_use_casc_in = "OFF";
876
        parameter c7_high = 0;
877
        parameter c7_initial = 0;
878
        parameter c7_low = 0;
879
        parameter c7_mode = "BYPASS";
880
        parameter c7_ph = 0;
881
        parameter c7_test_source = 5;
882
        parameter c7_use_casc_in = "OFF";
883
        parameter c8_high = 0;
884
        parameter c8_initial = 0;
885
        parameter c8_low = 0;
886
        parameter c8_mode = "BYPASS";
887
        parameter c8_ph = 0;
888
        parameter c8_test_source = 5;
889
        parameter c8_use_casc_in = "OFF";
890
        parameter c9_high = 0;
891
        parameter c9_initial = 0;
892
        parameter c9_low = 0;
893
        parameter c9_mode = "BYPASS";
894
        parameter c9_ph = 0;
895
        parameter c9_test_source = 5;
896
        parameter c9_use_casc_in = "OFF";
897
        parameter clk0_use_even_counter_mode = "OFF";
898
        parameter clk0_use_even_counter_value = "OFF";
899
        parameter clk1_use_even_counter_mode = "OFF";
900
        parameter clk1_use_even_counter_value = "OFF";
901
        parameter clk2_use_even_counter_mode = "OFF";
902
        parameter clk2_use_even_counter_value = "OFF";
903
        parameter clk3_use_even_counter_mode = "OFF";
904
        parameter clk3_use_even_counter_value = "OFF";
905
        parameter clk4_use_even_counter_mode = "OFF";
906
        parameter clk4_use_even_counter_value = "OFF";
907
        parameter clk5_use_even_counter_mode = "OFF";
908
        parameter clk5_use_even_counter_value = "OFF";
909
        parameter clk6_divide_by = 0;
910
        parameter clk6_duty_cycle = 50;
911
        parameter clk6_multiply_by = 0;
912
        parameter clk6_phase_shift = "0";
913
        parameter clk6_use_even_counter_mode = "OFF";
914
        parameter clk6_use_even_counter_value = "OFF";
915
        parameter clk7_divide_by = 0;
916
        parameter clk7_duty_cycle = 50;
917
        parameter clk7_multiply_by = 0;
918
        parameter clk7_phase_shift = "0";
919
        parameter clk7_use_even_counter_mode = "OFF";
920
        parameter clk7_use_even_counter_value = "OFF";
921
        parameter clk8_divide_by = 0;
922
        parameter clk8_duty_cycle = 50;
923
        parameter clk8_multiply_by = 0;
924
        parameter clk8_phase_shift = "0";
925
        parameter clk8_use_even_counter_mode = "OFF";
926
        parameter clk8_use_even_counter_value = "OFF";
927
        parameter clk9_divide_by = 0;
928
        parameter clk9_duty_cycle = 50;
929
        parameter clk9_multiply_by = 0;
930
        parameter clk9_phase_shift = "0";
931
        parameter clk9_use_even_counter_mode = "OFF";
932
        parameter clk9_use_even_counter_value = "OFF";
933
        parameter lock_window_ui = " 0.05";
934
        parameter self_reset_on_loss_lock = "OFF";
935
        parameter vco_frequency_control = "AUTO";
936
        parameter vco_phase_shift_step = 0;
937
        parameter width_clock = 6;
938
        parameter port_configupdate = "PORT_CONNECTIVITY";
939
        parameter port_fbout = "PORT_CONNECTIVITY";
940
        parameter port_phasecounterselect = "PORT_CONNECTIVITY";
941
        parameter port_phasedone = "PORT_CONNECTIVITY";
942
        parameter port_phasestep = "PORT_CONNECTIVITY";
943
        parameter port_phaseupdown = "PORT_CONNECTIVITY";
944
        parameter port_scanclkena = "PORT_CONNECTIVITY";
945
 
946
        parameter charge_pump_current_bits = 9999;
947
        parameter loop_filter_c_bits = 9999;
948
        parameter loop_filter_r_bits = 9999;
949
 
950
        parameter clk6_counter = "E0";
951
        parameter clk7_counter = "E1";
952
        parameter clk8_counter = "E2";
953
        parameter clk9_counter = "E3";
954
        parameter scan_chain_mif_file = "UNUSED";
955
        parameter sim_gate_lock_device_behavior = "OFF";
956
        parameter   using_fbmimicbidir_port = "OFF";
957
 
958
        parameter dpa_divide_by = 1;
959
        parameter dpa_divider = 0;
960
        parameter dpa_multiply_by = 0;
961
 
962
 
963
        //// port declarations ////
964
 
965
        input [1:0] inclk;
966
        input fbin;
967
        input pllena;
968
        input clkswitch;
969
        input areset;
970
        input pfdena;
971
        input [5:0] clkena;
972
        input [3:0] extclkena;
973
        input scanclk;
974
        input scanaclr;
975
        input scandata;
976
        input scanread;
977
        input scanwrite;
978
        input configupdate;
979
        input [width_phasecounterselect-1:0] phasecounterselect;
980
        input phasestep;
981
        input phaseupdown;
982
        input scanclkena;
983
        output phasedone;
984
        output fbout;
985
        output [width_clock-1:0] clk;
986
        output [3:0] extclk;
987
        output [1:0] clkbad;
988
        output activeclock;
989
        output clkloss;
990
        output locked;
991
        output enable0;
992
        output enable1;
993
        output scandataout;
994
        output scandone;
995
        output sclkout0;
996
        output sclkout1;
997
        output vcooverrange;
998
        output vcounderrange;
999
        output fref;
1000
        output icdrclk;
1001
        inout fbmimicbidir;
1002
 
1003
        wire locked_wire;
1004
        wire locked_reg;
1005
        wire feedback;
1006
 
1007
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.