OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [clk_source/] [clk_source.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
// synthesis translate_off
2
`timescale 1ns / 1ps
3
// synthesis translate_on
4
 
5
 
6
module clk_source #(
7
        parameter FPGA_VENDOR = "ALTERA" // "ALTERA" , "XILINX"
8
        )(
9
        input   reset_in,
10
        input   clk_in,
11
        output  reset_out,
12
        output  clk_out
13
 
14
);
15
 
16
        generate
17
        if(  FPGA_VENDOR == "ALTERA" ) begin :altera
18
 
19
                altera_reset_synchronizer sync(
20
                        .reset_in       (reset_in),
21
                        .clk            (clk_in),
22
                        .reset_out      (reset_out)
23
                );
24
 
25
        end else if(  FPGA_VENDOR == "XILINX" ) begin :xilinx
26
 
27
                xilinx_reset_synchroniser sync(
28
                        .clk            (clk_in),
29
                        .aresetin       (reset_in),
30
                        .sync_reset     (reset_out)
31
                );
32
 
33
 
34
        end
35
        endgenerate
36
 
37
 
38
        assign clk_out=clk_in;
39
 
40
 
41
endmodule
42
 
43
 
44
 
45
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.