OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [clk_source/] [xilinx_reset_synchroniser.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
/**************************************
2
* Module: xilinx_reset_synchroniser
3
* Date:2020-07-16
4
* Author: alireza
5
*
6
* Description:
7
***************************************/
8
 
9
// synthesis translate_off
10
`timescale 1ns / 1ps
11
// synthesis translate_on
12
 
13
 
14
module  xilinx_reset_synchroniser #(
15
     parameter ASYNC_RESET = 0
16
)(
17
    input clk,
18
    input aresetin,
19
    output sync_reset
20
 
21
);
22
 
23
 
24
    generate if (ASYNC_RESET) begin
25
    // -----------------------------------------------
26
    // Assert asynchronously, deassert synchronously.
27
    // -----------------------------------------------
28
 
29
        (* ASYNC_REG = "true" *) reg sreg1, sreg2;
30
        always @(posedge clk or posedge aresetin) begin
31
            if(aresetin) begin
32
                sreg1 <= 1'b1;
33
                sreg2 <= 1'b1;
34
            end
35
            else begin
36
                sreg1 <= 1'b0;
37
                sreg2 <= sreg1;
38
            end
39
        end
40
        assign sync_reset = sreg2;
41
 
42
    end else begin
43
    // -----------------------------------------------
44
    // Assert synchronously, deassert synchronously.
45
    // -----------------------------------------------
46
        (*preserve*) reg sreg3, sreg4;
47
        always @(posedge clk  ) begin
48
                sreg3 <= aresetin;
49
                sreg4 <= sreg3;
50
        end
51
 
52
        assign sync_reset = sreg4;
53
 
54
    end
55
    endgenerate
56
 
57
endmodule
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.