OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [jtag/] [jtag_simulation/] [jtag_wb_test/] [verilog/] [testbench.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
`timescale 1 ps / 1 ps
2
 
3
module testbench;
4
 
5
 
6
    reg clk;
7
    reg reset;
8
    wire [3: 0]led;
9
    reg  [3: 0]btn;
10
 
11
 
12
   initial begin
13
       clk = 1'b0;
14
       forever clk = #10 ~clk;
15
   end
16
 
17
  initial begin
18
        reset=1'b1;
19
        #10
20
        @(posedge clk) #1 reset=1'b0;
21
 
22
   end
23
 
24
 
25
         xilinx_jtag_test uut (
26
                .clk(clk),
27
                .led(led),
28
                .btn(btn),
29
                .reset(reset)
30
        );
31
 
32
 
33
 
34
 
35
 
36
endmodule
37
 
38
 
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.