OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [jtag/] [jtag_simulation/] [jtag_wb_test/] [verilog/] [xilinx_jtag_test.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date: 03/05/2020 06:13:04 PM
7
// Design Name: 
8
// Module Name: jtag_axi
9
// Project Name: 
10
// Target Devices: 
11
// Tool Versions: 
12
// Description: 
13
// 
14
// Dependencies: 
15
// 
16
// Revision:
17
// Revision 0.01 - File Created
18
// Additional Comments:
19
// 
20
//////////////////////////////////////////////////////////////////////////////////
21
`timescale 1 ps / 1 ps
22
 
23
module xilinx_jtag_test (
24
    input clk,
25
    input reset,
26
    output [3: 0]led,
27
    input  [3: 0]btn
28
);
29
 
30
 
31
 
32
 
33
    parameter JDw=32;
34
    parameter JAw=32;
35
    parameter JINDEXw=8;
36
    parameter JSTATUSw=8;
37
 
38
 
39
 
40
 
41
    localparam  J2WBw= 1+1+JDw+JAw;
42
    localparam  WB2Jw=1+JSTATUSw+JINDEXw+1+JDw;
43
 
44
 
45
 
46
 
47
 xilinx_jtag_wb #(
48
    .JWB_NUM(1),
49
    .JDw(32),
50
    .JAw(32),
51
    .JINDEXw(8),
52
    .JSTATUSw(8),
53
    .CTRL_REG_INDEX(127)
54
 
55
)
56
jwb
57
(
58
   // clk, get the clock from wb interface
59
    .reset(reset),
60
    .cpu_en(led[0]),
61
    .system_reset(led[1]),
62
    .wb_to_jtag_all({{WB2Jw-1{1'b0}} ,clk}),
63
    .jtag_to_wb_all()
64
);
65
 
66
 
67
 
68
 /*
69
 reg ack;
70
 wire stb;
71
 wire we;
72
 wire [JDw-1 : 0] jtag_dout;
73
 always @ (posedge clk) ack<=stb;
74
 
75
  xilinx_jtag_mem_ctrl #(
76
    .Dw(32),
77
    .Aw(32),
78
    .INDEXw(8),
79
    .STATUSw(8)
80
)uut
81
(
82
 
83
    .wb_to_jtag_status(8'hCD),
84
    .wb_to_jtag_dat(32'hDEADBEEF),
85
    .wb_to_jtag_ack(ack),
86
 
87
    .jtag_to_wb_ir(),
88
    .jtag_to_wb_index(),
89
    .jtag_to_wb_dat(jtag_dout),
90
    .jtag_to_wb_addr(),
91
    .jtag_to_wb_stb(stb),
92
    .jtag_to_wb_we(we),
93
 
94
    .reset(reset),
95
    .clk(clk)
96
);
97
 
98
reg [3:0] dout;
99
always @(posedge clk)begin
100
    if(stb & we) dout <= jtag_dout[3:0];
101
end
102
 
103
assign led = dout;
104
*/
105
 
106
endmodule
107
 
108
 
109
 
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.