OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [jtag/] [jtag_wb/] [vjtag.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
// megafunction wizard: %Virtual JTAG%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: sld_virtual_jtag 
5
 
6
// ============================================================
7
// File Name: vjtag.v
8
// Megafunction Name(s):
9
//                      sld_virtual_jtag
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 13.0.0 Build 156 04/24/2013 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2013 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synthesis translate_off
37
`timescale 1ns / 1ps
38
// synthesis translate_on
39
 
40
 
41
module vjtag #(
42
        parameter  VJTAG_INDEX=126
43
 
44
)(
45
        ir_out,
46
        tdo,
47
        ir_in,
48
        tck,
49
        tdi,
50
        virtual_state_cdr,
51
        virtual_state_cir,
52
        virtual_state_e1dr,
53
        virtual_state_e2dr,
54
        virtual_state_pdr,
55
        virtual_state_sdr,
56
        virtual_state_udr,
57
        virtual_state_uir);
58
 
59
        input   [2:0]  ir_out;
60
        input     tdo;
61
        output  [2:0]  ir_in;
62
        output    tck;
63
        output    tdi;
64
        output    virtual_state_cdr;
65
        output    virtual_state_cir;
66
        output    virtual_state_e1dr;
67
        output    virtual_state_e2dr;
68
        output    virtual_state_pdr;
69
        output    virtual_state_sdr;
70
        output    virtual_state_udr;
71
        output    virtual_state_uir;
72
 
73
        wire  sub_wire0;
74
        wire  sub_wire1;
75
        wire [2:0] sub_wire2;
76
        wire  sub_wire3;
77
        wire  sub_wire4;
78
        wire  sub_wire5;
79
        wire  sub_wire6;
80
        wire  sub_wire7;
81
        wire  sub_wire8;
82
        wire  sub_wire9;
83
        wire  sub_wire10;
84
        wire  virtual_state_cir = sub_wire0;
85
        wire  virtual_state_pdr = sub_wire1;
86
        wire [2:0] ir_in = sub_wire2[2:0];
87
        wire  tdi = sub_wire3;
88
        wire  virtual_state_udr = sub_wire4;
89
        wire  tck = sub_wire5;
90
        wire  virtual_state_e1dr = sub_wire6;
91
        wire  virtual_state_uir = sub_wire7;
92
        wire  virtual_state_cdr = sub_wire8;
93
        wire  virtual_state_e2dr = sub_wire9;
94
        wire  virtual_state_sdr = sub_wire10;
95
 
96
`ifndef VERILATOR
97
`ifndef MODEL_TECH
98
        sld_virtual_jtag        sld_virtual_jtag_component (
99
                                .ir_out (ir_out),
100
                                .tdo (tdo),
101
                                .virtual_state_cir (sub_wire0),
102
                                .virtual_state_pdr (sub_wire1),
103
                                .ir_in (sub_wire2),
104
                                .tdi (sub_wire3),
105
                                .virtual_state_udr (sub_wire4),
106
                                .tck (sub_wire5),
107
                                .virtual_state_e1dr (sub_wire6),
108
                                .virtual_state_uir (sub_wire7),
109
                                .virtual_state_cdr (sub_wire8),
110
                                .virtual_state_e2dr (sub_wire9),
111
                                .virtual_state_sdr (sub_wire10)
112
                                // synopsys translate_off
113
                                ,
114
                                .jtag_state_cdr (),
115
                                .jtag_state_cir (),
116
                                .jtag_state_e1dr (),
117
                                .jtag_state_e1ir (),
118
                                .jtag_state_e2dr (),
119
                                .jtag_state_e2ir (),
120
                                .jtag_state_pdr (),
121
                                .jtag_state_pir (),
122
                                .jtag_state_rti (),
123
                                .jtag_state_sdr (),
124
                                .jtag_state_sdrs (),
125
                                .jtag_state_sir (),
126
                                .jtag_state_sirs (),
127
                                .jtag_state_tlr (),
128
                                .jtag_state_udr (),
129
                                .jtag_state_uir (),
130
                                .tms ()
131
                                // synopsys translate_on
132
                                );
133
 
134
 
135
        defparam
136
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
137
                sld_virtual_jtag_component.sld_instance_index = VJTAG_INDEX,
138
                sld_virtual_jtag_component.sld_ir_width = 3,
139
                sld_virtual_jtag_component.sld_sim_action = "((0,1,7,3),(0,2,ff,20),(0,1,6,3),(0,2,ffffffff,20),(0,2,1,20),(0,2,2,20),(0,2,3,20),(0,2,4,20))",
140
                sld_virtual_jtag_component.sld_sim_n_scan = 8,
141
                sld_virtual_jtag_component.sld_sim_total_length = 198;
142
`endif //MODEL_TECH
143
`endif //VERILATOR
144
 
145
endmodule
146
 
147
// ============================================================
148
// CNX file retrieval info
149
// ============================================================
150
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
151
// Retrieval info: PRIVATE: show_jtag_state STRING "0"
152
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
153
// Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "NO"
154
// Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "126"
155
// Retrieval info: CONSTANT: SLD_IR_WIDTH NUMERIC "3"
156
// Retrieval info: CONSTANT: SLD_SIM_ACTION STRING "((0,1,7,3),(0,2,ff,20),(0,1,6,3),(0,2,ffffffff,20),(0,2,1,20),(0,2,2,20),(0,2,3,20),(0,2,4,20))"
157
// Retrieval info: CONSTANT: SLD_SIM_N_SCAN NUMERIC "8"
158
// Retrieval info: CONSTANT: SLD_SIM_TOTAL_LENGTH NUMERIC "198"
159
// Retrieval info: USED_PORT: ir_in 0 0 3 0 OUTPUT NODEFVAL "ir_in[2..0]"
160
// Retrieval info: USED_PORT: ir_out 0 0 3 0 INPUT NODEFVAL "ir_out[2..0]"
161
// Retrieval info: USED_PORT: tck 0 0 0 0 OUTPUT NODEFVAL "tck"
162
// Retrieval info: USED_PORT: tdi 0 0 0 0 OUTPUT NODEFVAL "tdi"
163
// Retrieval info: USED_PORT: tdo 0 0 0 0 INPUT NODEFVAL "tdo"
164
// Retrieval info: USED_PORT: virtual_state_cdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cdr"
165
// Retrieval info: USED_PORT: virtual_state_cir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cir"
166
// Retrieval info: USED_PORT: virtual_state_e1dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e1dr"
167
// Retrieval info: USED_PORT: virtual_state_e2dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e2dr"
168
// Retrieval info: USED_PORT: virtual_state_pdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_pdr"
169
// Retrieval info: USED_PORT: virtual_state_sdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_sdr"
170
// Retrieval info: USED_PORT: virtual_state_udr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_udr"
171
// Retrieval info: USED_PORT: virtual_state_uir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_uir"
172
// Retrieval info: CONNECT: @ir_out 0 0 3 0 ir_out 0 0 3 0
173
// Retrieval info: CONNECT: @tdo 0 0 0 0 tdo 0 0 0 0
174
// Retrieval info: CONNECT: ir_in 0 0 3 0 @ir_in 0 0 3 0
175
// Retrieval info: CONNECT: tck 0 0 0 0 @tck 0 0 0 0
176
// Retrieval info: CONNECT: tdi 0 0 0 0 @tdi 0 0 0 0
177
// Retrieval info: CONNECT: virtual_state_cdr 0 0 0 0 @virtual_state_cdr 0 0 0 0
178
// Retrieval info: CONNECT: virtual_state_cir 0 0 0 0 @virtual_state_cir 0 0 0 0
179
// Retrieval info: CONNECT: virtual_state_e1dr 0 0 0 0 @virtual_state_e1dr 0 0 0 0
180
// Retrieval info: CONNECT: virtual_state_e2dr 0 0 0 0 @virtual_state_e2dr 0 0 0 0
181
// Retrieval info: CONNECT: virtual_state_pdr 0 0 0 0 @virtual_state_pdr 0 0 0 0
182
// Retrieval info: CONNECT: virtual_state_sdr 0 0 0 0 @virtual_state_sdr 0 0 0 0
183
// Retrieval info: CONNECT: virtual_state_udr 0 0 0 0 @virtual_state_udr 0 0 0 0
184
// Retrieval info: CONNECT: virtual_state_uir 0 0 0 0 @virtual_state_uir 0 0 0 0
185
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.v TRUE
186
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.inc FALSE
187
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.cmp FALSE
188
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.bsf FALSE
189
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag_inst.v TRUE
190
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag_bb.v TRUE
191
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.