OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_synfull/] [dpi_int_pkg.sv] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
import pronoc_pkg::*;
2
 
3
 
4
package dpi_int_pkg;
5
 
6
 
7
 typedef struct packed {
8
     logic [pronoc_pkg::NEw-1 : 0] dest  ;
9
     logic [pronoc_pkg::PCK_SIZw-1 : 0] size  ;
10
     logic [pronoc_pkg::NEw-1 : 0] src   ;
11
     logic [31:0]      id    ;
12
     logic             valid ;
13
 } req_t;
14
 
15
 typedef struct packed {
16
     logic [31:0]      id    ;
17
     logic             valid ;
18
 } deliver_t;
19
 
20
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.