OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [common/] [custom_noc_top.sv] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
`timescale 1ns / 1ps
2
 
3
module   custom_noc_top
4
        import pronoc_pkg::*;
5
        (
6
 
7
    reset,
8
    clk,
9
    chan_in_all,
10
    chan_out_all
11
);
12
 
13
 
14
        input   clk,reset;
15
        //local ports
16
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
17
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
18
 
19
 
20
 
21
    generate
22
 
23
 
24
 
25
 
26
        //do not modify this line ===custom1===
27
    if(TOPOLOGY == "custom1" ) begin : Tcustom1
28
 
29
                custom1_noc_genvar the_noc
30
                (
31
                    .reset(reset),
32
                    .clk(clk),
33
                    .chan_in_all(chan_in_all),
34
                    .chan_out_all(chan_out_all)
35
                );
36
 
37
        end
38
    endgenerate
39
 
40
 
41
 
42
 
43
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.