OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [script/] [synfull/] [modelsim.ini] - Blame information for rev 54

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
; vsim modelsim.ini file
2
[Version]
3
INIVersion = "10.7c"
4
 
5
; Copyright 1991-2018 Mentor Graphics Corporation
6
;
7
; All Rights Reserved.
8
;
9
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
10
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
11
;
12
 
13
[Library]
14
others = $MODEL_TECH/../modelsim.ini
15
;
16
; VITAL concerns:
17
;
18
; The library ieee contains (among other packages) the packages of the
19
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
20
; the physical library ieee (recommended), or use the physical library
21
; vital2000, but not both.  The design can use logical library ieee and/or
22
; vital2000 as long as each of these maps to the same physical library, either
23
; ieee or vital2000.
24
;
25
; A design using the 1995 version of the VITAL packages, whether or not
26
; it also uses the 2000 version of the VITAL packages, must have logical library
27
; name ieee mapped to physical library vital1995.  (A design cannot use library
28
; vital1995 directly because some packages in this library use logical name ieee
29
; when referring to the other packages in the library.)  The design source
30
; should use logical name ieee when referring to any packages there except the
31
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
32
; name vital2000 (mapped to physical library vital2000) to refer to those
33
; packages.
34
; ieee = $MODEL_TECH/../vital1995
35
;
36
; For compatiblity with previous releases, logical library name vital2000 maps
37
; to library vital2000 (a different library than library ieee, containing the
38
; same packages).
39
; A design should not reference VITAL from both the ieee library and the
40
; vital2000 library because the vital packages are effectively different.
41
; A design that references both the ieee and vital2000 libraries must have
42
; both logical names ieee and vital2000 mapped to the same library, either of
43
; these:
44
;   $MODEL_TECH/../ieee
45
;   $MODEL_TECH/../vital2000
46
;
47
 
48
; added mapping for ADMS
49
 
50
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
51
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
52
;mvc_lib = $MODEL_TECH/../mvc_lib
53
 
54
; Automatically perform logical->physical mapping for physical libraries that
55
; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
56
; The tail of the filesystem path name is chosen as the logical library name.
57
; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
58
; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
59
; See the User Manual for more details.
60
;
61
; AutoLibMapping = 0
62
 
63
work = /home/alireza/work/git/hca_git/mpsoc_work/simulation/rtl_work
64
[DefineOptionset]
65
; Define optionset entries for the various compilers, vmake, and vsim.
66
; These option sets can be used with the "-optionset " syntax.
67
; i.e.
68
;  vlog -optionset COMPILEDEBUG top.sv
69
;  vsim -optionset UVMDEBUG my_top
70
;
71
; Following are some useful examples.
72
 
73
; define a vsim optionset for uvm debugging
74
UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
75
 
76
; define a vopt optionset for debugging
77
VOPTDEBUG = +acc -debugdb
78
 
79
[encryption]
80
; For vencrypt and vhencrypt.
81
 
82
; Controls whether to encrypt whole files by ignoring all protect directives
83
; (except "viewport" and "interface_viewport") that are present in the input.
84
; The default is 0, use embedded protect directives to control the encryption.
85
; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
86
; wholefile = 0
87
 
88
; Sets the data_method to use for the symmetric session key.
89
; The session key is a symmetric key that is randomly generated for each
90
; protected region (envelope) and is the heart of all encryption.  This is used
91
; to set the length of the session key to generate and use when encrypting the
92
; HDL text.  Supported values are aes128, aes192, and aes256.
93
; data_method = aes128
94
 
95
; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
96
; "recipe" comprising an optional common block, at least one tool block (which
97
; contains the key public key), and the text to be encrypted.  The common block
98
; and any of the tool blocks may contain rights in the form of the "control"
99
; directive.  The text to be encrypted is specified either by setting
100
; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
101
; the input HDL files.
102
 
103
; Common recipe specification file.  This file is optional.  Its presence will
104
; require at least one "toolblock" to be specified.
105
; Directives such as "author" "author_info" and "data_method",
106
; as well as the common block license specification, go in this file.
107
; common = 
108
 
109
; Tool block specification recipe(s).  Public key file with optional tool block
110
; file name.  May be multiply-defined; at least one tool block is required if
111
; a recipe is being specified.
112
; Key file is a file name with no extension (.deprecated or .active will be
113
; supplied by the encryption tool).
114
; Rights file name is optional.
115
; toolblock = [,]{:[,]}
116
 
117
; Location of directory containing recipe files.
118
; The default location is in the product installation directory.
119
; keyring = $MODEL_TECH/../keyring
120
 
121
; Enable encryption statistics. Specify one or more arguments:
122
;                   [all,none,time,cmd,msg,perf,verbose,list]
123
; Add '-' to disable specific statistics. Default is [cmd,msg].
124
Stats = cmd,msg
125
 
126
[vcom]
127
; VHDL93 variable selects language version as the default.
128
; Default is VHDL-2002.
129
; Value of 0 or 1987 for VHDL-1987.
130
; Value of 1 or 1993 for VHDL-1993.
131
; Default or value of 2 or 2002 for VHDL-2002.
132
; Value of 3 or 2008 for VHDL-2008
133
; Value of 4 or ams99 for VHDL-AMS-1999
134
; Value of 5 or ams07 for VHDL-AMS-2007
135
VHDL93 = 2002
136
 
137
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
138
; ignoreStandardRealVector = 1
139
 
140
; Show source line containing error. Default is off.
141
; Show_source = 1
142
 
143
; Turn off unbound-component warnings. Default is on.
144
; Show_Warning1 = 0
145
 
146
; Turn off process-without-a-wait-statement warnings. Default is on.
147
; Show_Warning2 = 0
148
 
149
; Turn off null-range warnings. Default is on.
150
; Show_Warning3 = 0
151
 
152
; Turn off no-space-in-time-literal warnings. Default is on.
153
; Show_Warning4 = 0
154
 
155
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
156
; Show_Warning5 = 0
157
 
158
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
159
; Optimize_1164 = 0
160
 
161
; Enable compiler statistics. Specify one or more arguments:
162
;                   [all,none,time,cmd,msg,perf,verbose,list]
163
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
164
; Stats = time,cmd,msg
165
 
166
; Turn on resolving of ambiguous function overloading in favor of the
167
; "explicit" function declaration (not the one automatically created by
168
; the compiler for each type declaration). Default is off.
169
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
170
; will match the behavior of synthesis tools.
171
Explicit = 1
172
 
173
; Turn off acceleration of the VITAL packages. Default is to accelerate.
174
; NoVital = 1
175
 
176
; Turn off VITAL compliance checking. Default is checking on.
177
; NoVitalCheck = 1
178
 
179
; Ignore VITAL compliance checking errors. Default is to not ignore.
180
; IgnoreVitalErrors = 1
181
 
182
; Turn off VITAL compliance checking warnings. Default is to show warnings.
183
; Show_VitalChecksWarnings = 0
184
 
185
; Turn off PSL assertion warning messages. Default is to show warnings.
186
; Show_PslChecksWarnings = 0
187
 
188
; Enable parsing of embedded PSL assertions. Default is enabled.
189
; EmbeddedPsl = 0
190
 
191
; Keep silent about case statement static warnings.
192
; Default is to give a warning.
193
; NoCaseStaticError = 1
194
 
195
; Keep silent about warnings caused by aggregates that are not locally static.
196
; Default is to give a warning.
197
; NoOthersStaticError = 1
198
 
199
; Treat as errors:
200
;   case statement static warnings
201
;   warnings caused by aggregates that are not locally static
202
; Overrides NoCaseStaticError, NoOthersStaticError settings.
203
; PedanticErrors = 1
204
 
205
; Turn off inclusion of debugging info within design units.
206
; Default is to include debugging info.
207
; NoDebug = 1
208
 
209
; Turn off "Loading..." messages. Default is messages on.
210
; Quiet = 1
211
 
212
; Turn on some limited synthesis rule compliance checking. Checks only:
213
;    -- signals used (read) by a process must be in the sensitivity list
214
; CheckSynthesis = 1
215
 
216
; Activate optimizations on expressions that do not involve signals,
217
; waits, or function/procedure/task invocations. Default is off.
218
; ScalarOpts = 1
219
 
220
; Turns on lint-style checking.
221
; Show_Lint = 1
222
 
223
; Require the user to specify a configuration for all bindings,
224
; and do not generate a compile time default binding for the
225
; component. This will result in an elaboration error of
226
; 'component not bound' if the user fails to do so. Avoids the rare
227
; issue of a false dependency upon the unused default binding.
228
; RequireConfigForAllDefaultBinding = 1
229
 
230
; Perform default binding at compile time.
231
; Default is to do default binding at load time.
232
; BindAtCompile = 1;
233
 
234
; Inhibit range checking on subscripts of arrays. Range checking on
235
; scalars defined with subtypes is inhibited by default.
236
; NoIndexCheck = 1
237
 
238
; Inhibit range checks on all (implicit and explicit) assignments to
239
; scalar objects defined with subtypes.
240
; NoRangeCheck = 1
241
 
242
; Set the prefix to be honored for synthesis/coverage pragma recognition.
243
; Default is "".
244
; AddPragmaPrefix = ""
245
 
246
; Ignore synthesis and coverage pragmas with this prefix.
247
; Default is "".
248
; IgnorePragmaPrefix = ""
249
 
250
; Turn on code coverage in VHDL design units. Default is off.
251
; Coverage = sbceft
252
 
253
; Turn off code coverage in VHDL subprograms. Default is on.
254
; CoverSub = 0
255
 
256
; Automatically exclude VHDL case statement OTHERS choice branches.
257
; This includes OTHERS choices in selected signal assigment statements.
258
; Default is to not exclude.
259
; CoverExcludeDefault = 1
260
 
261
; Control compiler and VOPT optimizations that are allowed when
262
; code coverage is on.  Refer to the comment for this in the [vlog] area.
263
; CoverOpt = 3
264
 
265
; Turn on or off clkOpt optimization for code coverage. Default is on.
266
; CoverClkOpt = 1
267
 
268
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
269
; CoverClkOptBuiltins = 0
270
 
271
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
272
; values on signals in conditions and expressions, and to not automatically
273
; convert them to '1' and '0'. Default is to not convert.
274
; CoverRespectHandL = 0
275
 
276
; Increase or decrease the maximum number of rows allowed in a UDP table
277
; implementing a VHDL condition coverage or expression coverage expression.
278
; More rows leads to a longer compile time, but more expressions covered.
279
; CoverMaxUDPRows = 192
280
 
281
; Increase or decrease the maximum number of input patterns that are present
282
; in FEC table. This leads to a longer compile time with more expressions
283
; covered with FEC metric.
284
; CoverMaxFECRows = 192
285
 
286
; Increase or decrease the limit on the size of expressions and conditions
287
; considered for expression and condition coverages. Higher FecUdpEffort leads
288
; to higher compile, optimize and simulation time, but more expressions and
289
; conditions are considered for coverage in the design. FecUdpEffort can
290
; be set to a number ranging from 1 (low) to 3 (high), defined as:
291
;   1 - (low) Only small expressions and conditions considered for coverage.
292
;   2 - (medium) Bigger expressions and conditions considered for coverage.
293
;   3 - (high) Very large expressions and conditions considered for coverage.
294
; The default setting is 1 (low).
295
; FecUdpEffort = 1
296
 
297
; Enable or disable Focused Expression Coverage analysis for conditions and
298
; expressions. Focused Expression Coverage data is provided by default when
299
; expression and/or condition coverage is active.
300
; CoverFEC = 0
301
 
302
; Enable or disable UDP Coverage analysis for conditions and expressions.
303
; UDP Coverage data is disabled by default when expression and/or condition
304
; coverage is active.
305
; CoverUDP = 1
306
 
307
; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
308
; Disabling this would convert non-masking conditions in FEC tables to matching
309
; input patterns.
310
; CoverREC = 1
311
 
312
; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
313
; for expression/condition coverage.
314
; NOTE: Enabling this may have a negative impact on simulation performance.
315
; CoverExpandReductionPrefix = 0
316
 
317
; Enable or disable short circuit evaluation of conditions and expressions when
318
; condition or expression coverage is active. Short circuit evaluation is enabled
319
; by default.
320
; CoverShortCircuit = 0
321
 
322
; Enable code coverage reporting of code that has been optimized away.
323
; The default is not to report.
324
; CoverReportCancelled = 1
325
 
326
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
327
; Default is no deglitching.
328
; CoverDeglitchOn = 1
329
 
330
; Control the code coverage deglitching period. A period of 0, eliminates delta
331
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
332
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
333
; CoverDeglitchPeriod = 0
334
 
335
; Use this directory for compiler temporary files instead of "work/_temp"
336
; CompilerTempDir = /tmp
337
 
338
; Set this to cause the compilers to force data to be committed to disk
339
; when the files are closed.
340
; SyncCompilerFiles = 1
341
 
342
; Add VHDL-AMS declarations to package STANDARD
343
; Default is not to add
344
; AmsStandard = 1
345
 
346
; Range and length checking will be performed on array indices and discrete
347
; ranges, and when violations are found within subprograms, errors will be
348
; reported. Default is to issue warnings for violations, because subprograms
349
; may not be invoked.
350
; NoDeferSubpgmCheck = 0
351
 
352
; Turn ON detection of FSMs having single bit current state variable.
353
; FsmSingle = 1
354
 
355
; Turn off reset state transitions in FSM.
356
; FsmResetTrans = 0
357
 
358
; Turn ON detection of FSM Implicit Transitions.
359
; FsmImplicitTrans = 1
360
 
361
; Controls whether or not to show immediate assertions with constant expressions
362
; in GUI/report/UCDB etc. By default, immediate assertions with constant
363
; expressions are shown in GUI/report/UCDB etc. This does not affect
364
; evaluation of immediate assertions.
365
; ShowConstantImmediateAsserts = 0
366
 
367
; Controls how VHDL basic identifiers are stored with the design unit.
368
; Does not make the language case-sensitive, affects only how declarations
369
; declared with basic identifiers have their names stored and printed
370
; (in the GUI, examine, etc.).
371
; Default is to preserve the case as originally depicted in the VHDL source.
372
; Value of 0 indicates to change all basic identifiers to lower case.
373
; PreserveCase = 0
374
 
375
; For Configuration Declarations, controls the effect that USE clauses have
376
; on visibility inside the configuration items being configured.  If 1
377
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
378
; extend the visibility of objects made visible through USE clauses into nested
379
; component configurations.
380
; OldVHDLConfigurationVisibility = 0
381
 
382
; Allows VHDL configuration declarations to be in a different library from
383
; the corresponding configured entity. Default is to not allow this for
384
; stricter LRM-compliance.
385
; SeparateConfigLibrary = 1;
386
 
387
; Determine how mode OUT subprogram parameters of type array and record are treated.
388
; If 0 (the default), then only VHDL 2008 will do this initialization.
389
; If 1, always initialize the mode OUT parameter to its default value.
390
; If 2, do not initialize the mode OUT out parameter.
391
; Note that prior to release 10.1, all language versions did not initialize mode
392
; OUT array and record type parameters, unless overridden here via this mechanism.
393
; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
394
; initialization, unless overridden here.
395
; InitOutCompositeParam = 0
396
 
397
; Generate symbols debugging database in only some special cases to save on
398
; the number of files in the library. For other design-units, this database is
399
; generated on-demand in vsim.
400
; Default is to to generate debugging database for all design-units.
401
; SmartDbgSym = 1
402
 
403
; Enable or disable automatic creation of missing libraries.
404
; Default is 1 (enabled)
405
; CreateLib = 1
406
 
407
[vlog]
408
; Turn off inclusion of debugging info within design units.
409
; Default is to include debugging info.
410
; NoDebug = 1
411
 
412
; Turn on `protect compiler directive processing.
413
; Default is to ignore `protect directives.
414
; Protect = 1
415
 
416
; Turn off "Loading..." messages. Default is messages on.
417
; Quiet = 1
418
 
419
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
420
; Default is off.
421
; Hazard = 1
422
 
423
; Turn on converting regular Verilog identifiers to uppercase. Allows case
424
; insensitivity for module names. Default is no conversion.
425
; UpCase = 1
426
 
427
; Activate optimizations on expressions that do not involve signals,
428
; waits, or function/procedure/task invocations. Default is off.
429
; ScalarOpts = 1
430
 
431
; Turns on lint-style checking.
432
; Show_Lint = 1
433
 
434
; Show source line containing error. Default is off.
435
; Show_source = 1
436
 
437
; Turn on bad option warning. Default is off.
438
; Show_BadOptionWarning = 1
439
 
440
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
441
; vlog95compat = 1
442
 
443
; Turn off PSL warning messages. Default is to show warnings.
444
; Show_PslChecksWarnings = 0
445
 
446
; Enable parsing of embedded PSL assertions. Default is enabled.
447
; EmbeddedPsl = 0
448
 
449
; Enable compiler statistics. Specify one or more arguments:
450
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
451
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
452
; Stats = time,cmd,msg
453
 
454
; Set the threshold for automatically identifying sparse Verilog memories.
455
; A memory with total size in bytes equal to or more than the sparse memory
456
; threshold gets marked as sparse automatically, unless specified otherwise
457
; in source code or by the +nosparse commandline option of vlog or vopt.
458
; The default is 1M.  (i.e. memories with total size equal
459
; to or greater than 1Mb are marked as sparse)
460
; SparseMemThreshold = 1048576
461
 
462
; Set the prefix to be honored for synthesis and coverage pragma recognition.
463
; Default is "".
464
; AddPragmaPrefix = ""
465
 
466
; Ignore synthesis and coverage pragmas with this prefix.
467
; Default is "".
468
; IgnorePragmaPrefix = ""
469
 
470
; Set the option to treat all files specified in a vlog invocation as a
471
; single compilation unit. The default value is set to 0 which will treat
472
; each file as a separate compilation unit as specified in the P1800 draft standard.
473
; MultiFileCompilationUnit = 1
474
 
475
; Turn on code coverage in Verilog design units. Default is off.
476
; Coverage = sbceft
477
 
478
; Automatically exclude Verilog case statement default branches.
479
; Default is to not automatically exclude defaults.
480
; CoverExcludeDefault = 1
481
 
482
; Increase or decrease the maximum number of rows allowed in a UDP table
483
; implementing a VHDL condition coverage or expression coverage expression.
484
; More rows leads to a longer compile time, but more expressions covered.
485
; CoverMaxUDPRows = 192
486
 
487
; Increase or decrease the maximum number of input patterns that are present
488
; in FEC table. This leads to a longer compile time with more expressions
489
; covered with FEC metric.
490
; CoverMaxFECRows = 192
491
 
492
; Increase or decrease the limit on the size of expressions and conditions
493
; considered for expression and condition coverages. Higher FecUdpEffort leads
494
; to higher compile, optimize and simulation time, but more expressions and
495
; conditions are considered for coverage in the design. FecUdpEffort can
496
; be set to a number ranging from 1 (low) to 3 (high), defined as:
497
;   1 - (low) Only small expressions and conditions considered for coverage.
498
;   2 - (medium) Bigger expressions and conditions considered for coverage.
499
;   3 - (high) Very large expressions and conditions considered for coverage.
500
; The default setting is 1 (low).
501
; FecUdpEffort = 1
502
 
503
; Enable or disable Focused Expression Coverage analysis for conditions and
504
; expressions. Focused Expression Coverage data is provided by default when
505
; expression and/or condition coverage is active.
506
; CoverFEC = 0
507
 
508
; Enable or disable UDP Coverage analysis for conditions and expressions.
509
; UDP Coverage data is disabled by default when expression and/or condition
510
; coverage is active.
511
; CoverUDP = 1
512
 
513
; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
514
; Disabling this would convert non-masking conditions in FEC tables to matching
515
; input patterns.
516
; CoverREC = 1
517
 
518
; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
519
; for expression/condition coverage.
520
; NOTE: Enabling this may have a negative impact on simulation performance.
521
; CoverExpandReductionPrefix = 0
522
 
523
; Enable or disable short circuit evaluation of conditions and expressions when
524
; condition or expression coverage is active. Short circuit evaluation is enabled
525
; by default.
526
; CoverShortCircuit = 0
527
 
528
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
529
; Default is no deglitching.
530
; CoverDeglitchOn = 1
531
 
532
; Control the code coverage deglitching period. A period of 0, eliminates delta
533
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
534
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
535
; CoverDeglitchPeriod = 0
536
 
537
; Turn on code coverage in VLOG `celldefine modules, modules containing
538
; specify blocks, and modules included using vlog -v and -y. Default is off.
539
; CoverCells = 1
540
 
541
; Enable code coverage reporting of code that has been optimized away.
542
; The default is not to report.
543
; CoverReportCancelled = 1
544
 
545
; Control compiler and VOPT optimizations that are allowed when
546
; code coverage is on. This is a number from 0 to 5, with the following
547
; meanings (the default is 3):
548
;    5 -- All allowable optimizations are on.
549
;    4 -- Turn off removing unreferenced code.
550
;    3 -- Turn off process, always block and if statement merging.
551
;    2 -- Turn off expression optimization, converting primitives
552
;         to continuous assignments, VHDL subprogram inlining.
553
;         and VHDL clkOpt (converting FF's to builtins).
554
;    1 -- Turn off continuous assignment optimizations and clock suppression.
555
;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
556
; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
557
; level 3, with also turning off converting primitives to continuous assigns.
558
; CoverOpt = 3
559
 
560
; Specify the override for the default value of "cross_num_print_missing"
561
; option for the Cross in Covergroups. If not specified then LRM default
562
; value of 0 (zero) is used. This is a compile time option.
563
; SVCrossNumPrintMissingDefault = 0
564
 
565
; Setting following to 1 would cause creation of variables which
566
; would represent the value of Coverpoint expressions. This is used
567
; in conjunction with "SVCoverpointExprVariablePrefix" option
568
; in the modelsim.ini
569
; EnableSVCoverpointExprVariable = 0
570
 
571
; Specify the override for the prefix used in forming the variable names
572
; which represent the Coverpoint expressions. This is used in conjunction with
573
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
574
; The default prefix is "expr".
575
; The variable name is
576
;    variable name => _
577
; SVCoverpointExprVariablePrefix = expr
578
 
579
; Override for the default value of the SystemVerilog covergroup,
580
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
581
; NOTE: It does not override specific assignments in SystemVerilog
582
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
583
; in the [vsim] section can override this value.
584
; SVCovergroupGoalDefault = 100
585
 
586
; Override for the default value of the SystemVerilog covergroup,
587
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
588
; NOTE: It does not override specific assignments in SystemVerilog
589
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
590
; in the [vsim] section can override this value.
591
; SVCovergroupTypeGoalDefault = 100
592
 
593
; Specify the override for the default value of "strobe" option for the
594
; Covergroup Type. This is a compile time option which forces "strobe" to
595
; a user specified default value and supersedes SystemVerilog specified
596
; default value of '0'(zero). NOTE: This can be overriden by a runtime
597
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
598
; SVCovergroupStrobeDefault = 0
599
 
600
; Specify the override for the default value of "per_instance" option for the
601
; Covergroup variables. This is a compile time option which forces "per_instance"
602
; to a user specified default value and supersedes SystemVerilog specified
603
; default value of '0'(zero).
604
; SVCovergroupPerInstanceDefault = 0
605
 
606
; Specify the override for the default value of "get_inst_coverage" option for the
607
; Covergroup variables. This is a compile time option which forces
608
; "get_inst_coverage" to a user specified default value and supersedes
609
; SystemVerilog specified default value of '0'(zero).
610
; SVCovergroupGetInstCoverageDefault = 0
611
 
612
;
613
; A space separated list of resource libraries that contain precompiled
614
; packages.  The behavior is identical to using the "-L" switch.
615
;
616
; LibrarySearchPath =  [ ...]
617
LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
618
 
619
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
620
; MixedAnsiPorts = 1
621
 
622
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
623
; EnableTypeOf = 1
624
 
625
; Only allow lower case pragmas. Default is disabled.
626
; AcceptLowerCasePragmaOnly = 1
627
 
628
; Set the maximum depth permitted for a recursive include file nesting.
629
; IncludeRecursionDepthMax = 5
630
 
631
; Turn ON detection of FSMs having single bit current state variable.
632
; FsmSingle = 1
633
 
634
; Turn off reset state transitions in FSM.
635
; FsmResetTrans = 0
636
 
637
; Turn off detections of FSMs having x-assignment.
638
; FsmXAssign = 0
639
 
640
; Turn ON detection of FSM Implicit Transitions.
641
; FsmImplicitTrans = 1
642
 
643
; List of file suffixes which will be read as SystemVerilog.  White space
644
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
645
; can be specified with two consecutive back-slashes: "\\";
646
; SvFileSuffixes = sv svp svh
647
 
648
; This setting is the same as the vlog -sv command line switch.
649
; Enables SystemVerilog features and keywords when true (1).
650
; When false (0), the rules of IEEE Std 1364-2001 are followed and
651
; SystemVerilog keywords are ignored.
652
; Svlog = 0
653
 
654
; Prints attribute placed upon SV packages during package import
655
; when true (1).  The attribute will be ignored when this
656
; entry is false (0). The attribute name is "package_load_message".
657
; The value of this attribute is a string literal.
658
; Default is true (1).
659
; PrintSVPackageLoadingAttribute = 1
660
 
661
; Do not show immediate assertions with constant expressions in
662
; GUI/reports/UCDB etc. By default immediate assertions with constant
663
; expressions are shown in GUI/reports/UCDB etc. This does not affect
664
; evaluation of immediate assertions.
665
; ShowConstantImmediateAsserts = 0
666
 
667
; Controls if untyped parameters that are initialized with values greater
668
; than 2147483647 are mapped to generics of type INTEGER or ignored.
669
; If mapped to VHDL Integers, values greater than 2147483647
670
; are mapped to negative values.
671
; Default is to map these parameter to generic of type INTEGER
672
; ForceUnsignedToVHDLInteger = 1
673
 
674
; Enable AMS wreal (wired real) extensions.  Default is 0.
675
; WrealType = 1
676
 
677
; Controls SystemVerilog Language Extensions.  These options enable
678
; some non-LRM compliant behavior.
679
; SvExtensions = [+|-][,[+|-]*]
680
 
681
; Generate symbols debugging database in only some special cases to save on
682
; the number of files in the library. For other design-units, this database is
683
; generated on-demand in vsim.
684
; Default is to to generate debugging database for all design-units.
685
; SmartDbgSym = 1
686
 
687
; Controls how $unit library entries are named.  Valid options are:
688
; "file" (generate name based on the first file on the command line)
689
; "du" (generate name based on first design unit following an item
690
; found in $unit scope)
691
; CUAutoName = file
692
 
693
; Enable or disable automatic creation of missing libraries.
694
; Default is 1 (enabled)
695
; CreateLib = 1
696
 
697
[sccom]
698
; Enable use of SCV include files and library.  Default is off.
699
; UseScv = 1
700
 
701
; Add C++ compiler options to the sccom command line by using this variable.
702
; CppOptions = -g
703
 
704
; Use custom C++ compiler located at this path rather than the default path.
705
; The path should point directly at a compiler executable.
706
; CppPath = /usr/bin/g++
707
 
708
; Specify the compiler version from the list of support GNU compilers.
709
; examples 4.3.3, 4.5.0
710
; CppInstall = 4.5.0
711
 
712
; Enable verbose messages from sccom.  Default is off.
713
; SccomVerbose = 1
714
 
715
; sccom logfile.  Default is no logfile.
716
; SccomLogfile = sccom.log
717
 
718
; Enable use of SC_MS include files and library.  Default is off.
719
; UseScMs = 1
720
 
721
; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
722
; Sc22Mode = 1
723
 
724
; Enable compiler statistics. Specify one or more arguments:
725
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
726
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
727
; Stats = time,cmd,msg
728
 
729
; Enable or disable automatic creation of missing libraries.
730
; Default is 1 (enabled)
731
; CreateLib = 1
732
 
733
; Enable use of UVMC library.  Default is off.
734
; UseUvmc = 1
735
 
736
[vopt]
737
; Turn on code coverage in vopt.  Default is off.
738
; Coverage = sbceft
739
 
740
; Control compiler optimizations that are allowed when
741
; code coverage is on.  Refer to the comment for this in the [vlog] area.
742
; CoverOpt = 3
743
 
744
; Controls set of CoverConstructs that are being considered for Coverage
745
; Collection.
746
; Some of Valid options are: default,set1,set2
747
; Covermode = default
748
 
749
; Controls set of HDL cover constructs that would be considered(or not considered)
750
; for Coverage Collection. (Default corresponds to covermode default).
751
; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
752
; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
753
 
754
; Increase or decrease the maximum number of rows allowed in a UDP table
755
; implementing a VHDL condition coverage or expression coverage expression.
756
; More rows leads to a longer compile time, but more expressions covered.
757
; CoverMaxUDPRows = 192
758
 
759
; Increase or decrease the maximum number of input patterns that are present
760
; in FEC table. This leads to a longer compile time with more expressions
761
; covered with FEC metric.
762
; CoverMaxFECRows = 192
763
 
764
; Increase or decrease the limit on the size of expressions and conditions
765
; considered for expression and condition coverages. Higher FecUdpEffort leads
766
; to higher compile, optimize and simulation time, but more expressions and
767
; conditions are considered for coverage in the design. FecUdpEffort can
768
; be set to a number ranging from 1 (low) to 3 (high), defined as:
769
;   1 - (low) Only small expressions and conditions considered for coverage.
770
;   2 - (medium) Bigger expressions and conditions considered for coverage.
771
;   3 - (high) Very large expressions and conditions considered for coverage.
772
; The default setting is 1 (low).
773
; FecUdpEffort = 1
774
 
775
; Enable code coverage reporting of code that has been optimized away.
776
; The default is not to report.
777
; CoverReportCancelled = 1
778
 
779
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
780
; Default is no deglitching.
781
; CoverDeglitchOn = 1
782
 
783
; Enable compiler statistics. Specify one or more arguments:
784
;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
785
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
786
; Stats = time,cmd,msg
787
 
788
; Control the code coverage deglitching period. A period of 0, eliminates delta
789
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
790
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
791
; CoverDeglitchPeriod = 0
792
 
793
; Do not show immediate assertions with constant expressions in
794
; GUI/reports/UCDB etc. By default immediate assertions with constant
795
; expressions are shown in GUI/reports/UCDB etc. This does not affect
796
; evaluation of immediate assertions.
797
; ShowConstantImmediateAsserts = 0
798
 
799
; Set the maximum number of iterations permitted for a generate loop.
800
; Restricting this permits the implementation to recognize infinite
801
; generate loops.
802
; GenerateLoopIterationMax = 100000
803
 
804
; Set the maximum depth permitted for a recursive generate instantiation.
805
; Restricting this permits the implementation to recognize infinite
806
; recursions.
807
; GenerateRecursionDepthMax = 200
808
 
809
; Set the number of processes created during the code generation phase.
810
; By default a heuristic is used to set this value.  This may be set to 0
811
; to disable this feature completely.
812
; ParallelJobs = 0
813
 
814
; Controls SystemVerilog Language Extensions.  These options enable
815
; some non-LRM compliant behavior.
816
; SvExtensions = [+|-][,[+|-]*]
817
 
818
; Load the specified shared objects with the RTLD_GLOBAL flag.
819
; This gives global visibility to all symbols in the shared objects,
820
; meaning that subsequently loaded shared objects can bind to symbols
821
; in the global shared objects.  The list of shared objects should
822
; be whitespace delimited.  This option is not supported on the
823
; Windows or AIX platforms.
824
; GlobalSharedObjectList = example1.so example2.so example3.so
825
 
826
; Disable SystemVerilog elaboration system task messages
827
; IgnoreSVAInfo = 1
828
; IgnoreSVAWarning = 1
829
; IgnoreSVAError = 1
830
; IgnoreSVAFatal = 1
831
 
832
; Enable or disable automatic creation of missing libraries.
833
; Default is 1 (enabled)
834
; CreateLib = 1
835
 
836
[vsim]
837
; vopt flow
838
; Set to turn on automatic optimization of a design.
839
; Default is on
840
VoptFlow = 1
841
 
842
; Simulator resolution
843
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
844
Resolution = ns
845
 
846
; Disable certain code coverage exclusions automatically.
847
; Assertions and FSM are exluded from the code coverage by default
848
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
849
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
850
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
851
; Or specify comma or space separated list
852
;AutoExclusionsDisable = fsm,assertions
853
 
854
; User time unit for run commands
855
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
856
; unit specified for Resolution. For example, if Resolution is 100ps,
857
; then UserTimeUnit defaults to ps.
858
; Should generally be set to default.
859
UserTimeUnit = default
860
 
861
; Default run length
862
RunLength = 100
863
 
864
; Maximum iterations that can be run without advancing simulation time
865
IterationLimit = 10000000
866
 
867
; Specify libraries to be searched for precompiled modules
868
; LibrarySearchPath =  [ ...]
869
 
870
; Set XPROP assertion fail limit. Default is 5.
871
; Any positive integer, -1 for infinity.
872
; XpropAssertionLimit = 5
873
 
874
; Control PSL and Verilog Assume directives during simulation
875
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
876
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
877
; SimulateAssumeDirectives = 1
878
 
879
; Control the simulation of PSL and SVA
880
; These switches can be overridden by the vsim command line switches:
881
;    -psl, -nopsl, -sva, -nosva.
882
; Set SimulatePSL = 0 to disable PSL simulation
883
; Set SimulatePSL = 1 to enable PSL simulation (default)
884
; SimulatePSL = 1
885
; Set SimulateSVA = 0 to disable SVA simulation
886
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
887
; SimulateSVA = 1
888
 
889
; Control SVA and VHDL immediate assertion directives during simulation
890
; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
891
; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
892
; SimulateImmedAsserts = 1
893
 
894
; License feature mappings for Verilog and VHDL
895
; qhsimvh       Single language VHDL license
896
; qhsimvl       Single language Verilog license
897
; msimhdlsim    Language neutral license for either Verilog or VHDL
898
; msimhdlmix    Second language only, language neutral license for either
899
;               Verilog or VHDL
900
;
901
; Directives to license manager can be set either as single value or as
902
; space separated multi-values:
903
; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
904
;               qhsimvh, msimhdlsim, or msimhdlmix)
905
; vlog          Immediately checkout and hold a Verilog license (i.e., one of
906
;               qhsimvl, msimhdlsim, or msimhdlmix)
907
; plus          Immediately checkout and hold a VHDL license and a Verilog license
908
; noqueue       Do not wait in the license queue when a license is not available
909
; viewsim       Try for viewer license but accept simulator license(s) instead
910
;               of queuing for viewer license (PE ONLY)
911
; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
912
; noslvhdl      Disable checkout of qhsimvh license feature
913
; noslvlog      Disable checkout of qhsimvl license feature
914
; nomix         Disable checkout of msimhdlmix license feature
915
; nolnl         Disable checkout of msimhdlsim license feature
916
; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
917
; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
918
;
919
; Examples (remove ";" comment character to activate licensing directives):
920
; Single directive:
921
; License = plus
922
; Multi-directive (Note: space delimited directives):
923
; License = noqueue plus
924
 
925
; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
926
; which will cause a running simulation to stop.
927
; VHDL assertions and SystemVerilog severity system task that occur with the
928
; given severity or higher will cause a running simulation to stop.
929
; This value is ignored during elaboration.
930
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
931
BreakOnAssertion = 3
932
 
933
; Severity level of a tool message which will cause a running simulation to
934
; stop. This value is ignored during elaboration. Default is to not break.
935
; 0 = Note  1 = Warning  2 = Error  3 = Fatal
936
;BreakOnMessage = 2
937
 
938
; The class debug feature enables more visibility and tracking of class instances
939
; during simulation.  By default this feature is disabled (0).  To enable this
940
; feature set ClassDebug to 1.
941
; ClassDebug = 1
942
 
943
; Message Format conversion specifications:
944
; %S - Severity Level of message/assertion
945
; %R - Text of message
946
; %T - Time of message
947
; %D - Delta value (iteration number) of Time
948
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
949
; %i - Instance/Region/Signal pathname with Process name (if available)
950
; %I - shorthand for one of these:
951
;      "  %K: %i"
952
;      "  %K: %i File: %F" (when path is not Process or Signal)
953
;      except that the %i in this case does not report the Process name
954
; %O - Process name
955
; %P - Instance/Region path without leaf process
956
; %F - File name
957
; %L - Line number; if assertion message, then line number of assertion or, if
958
;      assertion is in a subprogram, line from which the call is made
959
; %u - Design unit name in form library.primary
960
; %U - Design unit name in form library.primary(secondary)
961
; %% - The '%' character itself
962
;
963
; If specific format for Severity Level is defined, use that format.
964
; Else, for a message that occurs during elaboration:
965
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
966
;      certain non-VHDL regions, uses MessageFormatBreakLine;
967
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
968
;   -- Note/Warning/Error message uses MessageFormat.
969
; Else, for a message that occurs during runtime and triggers a breakpoint because
970
; of the BreakOnAssertion setting:
971
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
972
;   -- otherwise uses MessageFormatBreak.
973
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
974
;
975
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
976
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
977
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
978
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
979
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
980
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
981
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
982
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
983
 
984
; Error File - alternate file for storing error messages
985
; ErrorFile = error.log
986
 
987
; Simulation Breakpoint messages
988
; This flag controls the display of function names when reporting the location
989
; where the simulator stops because of a breakpoint or fatal error.
990
; Example with function name:    # Break in Process ctr at counter.vhd line 44
991
; Example without function name: # Break at counter.vhd line 44
992
; Default value is 1.
993
ShowFunctions = 1
994
 
995
; Default radix for all windows and commands.
996
; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
997
; Flags may be one of: enumnumeric, showbase, wreal
998
DefaultRadix = hexadecimal
999
DefaultRadixFlags = showbase
1000
; Set to 1 for make the signal_force VHDL and Verilog functions use the
1001
; default radix when processing the force value. Prior to 10.2 signal_force
1002
; used the default radix, now it always uses symbolic unless value explicitly indicates base
1003
;SignalForceFunctionUseDefaultRadix = 0
1004
 
1005
; VSIM Startup command
1006
; Startup = do startup.do
1007
 
1008
; VSIM Shutdown file
1009
; Filename to save u/i formats and configurations.
1010
; ShutdownFile = restart.do
1011
; To explicitly disable auto save:
1012
; ShutdownFile = --disable-auto-save
1013
 
1014
; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
1015
; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
1016
; BatchMode = 1
1017
 
1018
; File for saving command transcript when -batch option used
1019
; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
1020
; default is unset so command transcript only goes to stdout for better performance
1021
; BatchTranscriptFile = transcript
1022
 
1023
; File for saving command transcript, this option is ignored when -batch option is used
1024
TranscriptFile = transcript
1025
 
1026
; Transcript file long line wrapping mode(s)
1027
;   mode == 0 :: no wrapping, line recorded as is
1028
;   mode == 1 :: wrap at first whitespace after WSColumn
1029
;                or at Column.
1030
;   mode == 2 :: wrap as above, but add continuation
1031
;                character ('\') at end of each wrapped line
1032
;
1033
; WrapMode = 0
1034
; WrapColumn = 30000
1035
; WrapWSColumn = 27000
1036
 
1037
; File for saving command history
1038
; CommandHistory = cmdhist.log
1039
 
1040
; Specify whether paths in simulator commands should be described
1041
; in VHDL or Verilog format.
1042
; For VHDL, PathSeparator = /
1043
; For Verilog, PathSeparator = .
1044
; Must not be the same character as DatasetSeparator.
1045
PathSeparator = /
1046
 
1047
; Specify the dataset separator for fully rooted contexts.
1048
; The default is ':'. For example: sim:/top
1049
; Must not be the same character as PathSeparator.
1050
DatasetSeparator = :
1051
 
1052
; Specify a unique path separator for the Signal Spy set of functions.
1053
; The default will be to use the PathSeparator variable.
1054
; Must not be the same character as DatasetSeparator.
1055
; SignalSpyPathSeparator = /
1056
 
1057
; Used to control parsing of HDL identifiers input to the tool.
1058
; This includes CLI commands, vsim/vopt/vlog/vcom options,
1059
; string arguments to FLI/VPI/DPI calls, etc.
1060
; If set to 1, accept either Verilog escaped Id syntax or
1061
; VHDL extended id syntax, regardless of source language.
1062
; If set to 0, the syntax of the source language must be used.
1063
; Each identifier in a hierarchical name may need different syntax,
1064
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
1065
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
1066
; GenerousIdentifierParsing = 1
1067
 
1068
; Disable VHDL assertion messages
1069
; IgnoreNote = 1
1070
; IgnoreWarning = 1
1071
; IgnoreError = 1
1072
; IgnoreFailure = 1
1073
 
1074
; Disable SystemVerilog assertion messages
1075
; IgnoreSVAInfo = 1
1076
; IgnoreSVAWarning = 1
1077
; IgnoreSVAError = 1
1078
; IgnoreSVAFatal = 1
1079
 
1080
; Do not print any additional information from Severity System tasks.
1081
; Only the message provided by the user is printed along with severity
1082
; information.
1083
; SVAPrintOnlyUserMessage = 1;
1084
 
1085
; Default force kind. May be freeze, drive, deposit, or default
1086
; or in other terms, fixed, wired, or charged.
1087
; A value of "default" will use the signal kind to determine the
1088
; force kind, drive for resolved signals, freeze for unresolved signals
1089
; DefaultForceKind = freeze
1090
 
1091
; Control the iteration of events when a VHDL signal is forced to a value
1092
; This flag can be set to honour the signal update event in next iteration,
1093
; the default is to update and propagate in the same iteration.
1094
; ForceSigNextIter = 1
1095
 
1096
; Enable simulation statistics. Specify one or more arguments:
1097
;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
1098
; Add '-' to disable specific statistics. Default is [time,cmd,msg].
1099
; Stats = time,cmd,msg
1100
 
1101
; If zero, open files when elaborated; otherwise, open files on
1102
; first read or write.  Default is 0.
1103
; DelayFileOpen = 1
1104
 
1105
; Control VHDL files opened for write.
1106
;   0 = Buffered, 1 = Unbuffered
1107
UnbufferedOutput = 0
1108
 
1109
; Control the number of VHDL files open concurrently.
1110
; This number should always be less than the current ulimit
1111
; setting for max file descriptors.
1112
;   0 = unlimited
1113
ConcurrentFileLimit = 40
1114
 
1115
; If nonzero, close files as soon as there is either an explicit call to
1116
; file_close, or when the file variable's scope is closed. When zero, a
1117
; file opened in append mode is not closed in case it is immediately
1118
; reopened in append mode; otherwise, the file will be closed at the
1119
; point it is reopened.
1120
; AppendClose = 1
1121
 
1122
; Control the number of hierarchical regions displayed as
1123
; part of a signal name shown in the Wave window.
1124
; A value of zero tells VSIM to display the full name.
1125
; The default is 0.
1126
; WaveSignalNameWidth = 0
1127
 
1128
; Turn off warnings when changing VHDL constants and generics
1129
; Default is 1 to generate warning messages
1130
; WarnConstantChange = 0
1131
 
1132
; Turn off warnings from accelerated versions of the std_logic_arith,
1133
; std_logic_unsigned, and std_logic_signed packages.
1134
; StdArithNoWarnings = 1
1135
 
1136
; Turn off warnings from accelerated versions of the IEEE numeric_std
1137
; and numeric_bit packages.
1138
; NumericStdNoWarnings = 1
1139
 
1140
; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
1141
; in the design hierarchy.
1142
; This style is controlled by the value of the GenerateFormat
1143
; value described next.  Default is to use new-style names, which
1144
; comprise the generate statement label, '(', the value of the generate
1145
; parameter, and a closing ')'.
1146
; Set this to 1 to use old-style names.
1147
; OldVhdlForGenNames = 1
1148
 
1149
; Control the format of the old-style VHDL FOR generate statement region
1150
; name for each iteration.  Do not quote the value.
1151
; The format string here must contain the conversion codes %s and %d,
1152
; in that order, and no other conversion codes.  The %s represents
1153
; the generate statement label; the %d represents the generate parameter value
1154
; at a particular iteration (this is the position number if the generate parameter
1155
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
1156
; leading and trailing whitespace is ignored.
1157
; Application of the format must result in a unique region name over all
1158
; loop iterations for a particular immediately enclosing scope so that name
1159
; lookup can function properly.  The default is %s__%d.
1160
; GenerateFormat = %s__%d
1161
 
1162
; Enable more efficient logging of VHDL Variables.
1163
; Logging VHDL variables without this enabled, while possible, is very
1164
; inefficient.  Enabling this will provide a more efficient logging methodology
1165
; at the expense of more memory usage.  By default this feature is disabled (0).
1166
; To enabled this feature, set this variable to 1.
1167
; VhdlVariableLogging = 1
1168
 
1169
; Enable logging of VHDL access type variables and their designated objects.
1170
; This setting will allow both variables of an access type ("access variables")
1171
; and their designated objects ("access objects") to be logged.  Logging a
1172
; variable of an access type will automatically also cause the designated
1173
; object(s) of that variable to be logged as the simulation progresses.
1174
; Further, enabling this allows access objects to be logged by name.  By default
1175
; this feature is disabled (0).  To enable this feature, set this variable to 1.
1176
; Enabling this will automatically enable the VhdlVariableLogging feature also.
1177
; AccessObjDebug = 1
1178
 
1179
; Make each VHDL package in a PDU has its own separate copy of the package instead
1180
; of sharing the package between PDUs. The default is to share packages.
1181
; To ensure that each PDU has its own set of packages, set this variable to 1.
1182
; VhdlSeparatePduPackage = 1
1183
 
1184
; Specify whether checkpoint files should be compressed.
1185
; The default is 1 (compressed).
1186
; CheckpointCompressMode = 0
1187
 
1188
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
1189
; Use custom gcc compiler located at this path rather than the default path.
1190
; The path should point directly at a compiler executable.
1191
; DpiCppPath = /bin/gcc
1192
;
1193
; Specify the compiler version from the list of support GNU compilers.
1194
; examples 4.5.0, 4.7.4
1195
; DpiCppInstall = 4.7.4
1196
 
1197
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
1198
; The term "out-of-the-blue" refers to SystemVerilog export function calls
1199
; made from C functions that don't have the proper context setup
1200
; (as is the case when running under "DPI-C" import functions).
1201
; When this is enabled, one can call a DPI export function
1202
; (but not task) from any C code.
1203
; the setting of this variable can be one of the following values:
1204
; 0 : dpioutoftheblue call is disabled (default)
1205
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
1206
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
1207
; DpiOutOfTheBlue = 1
1208
 
1209
; Specify whether continuous assignments are run before other normal priority
1210
; processes scheduled in the same iteration. This event ordering minimizes race
1211
; differences between optimized and non-optimized designs, and is the default
1212
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
1213
; ImmediateContinuousAssign to 0.
1214
; The default is 1 (enabled).
1215
; ImmediateContinuousAssign = 0
1216
 
1217
; List of dynamically loaded objects for Verilog PLI applications
1218
; Veriuser = veriuser.sl
1219
 
1220
; Which default VPI object model should the tool conform to?
1221
; The 1364 modes are Verilog-only, for backwards compatibility with older
1222
; libraries, and SystemVerilog objects are not available in these modes.
1223
;
1224
; In the absence of a user-specified default, the tool default is the
1225
; latest available LRM behavior.
1226
; Options for PliCompatDefault are:
1227
;  VPI_COMPATIBILITY_VERSION_1364v1995
1228
;  VPI_COMPATIBILITY_VERSION_1364v2001
1229
;  VPI_COMPATIBILITY_VERSION_1364v2005
1230
;  VPI_COMPATIBILITY_VERSION_1800v2005
1231
;  VPI_COMPATIBILITY_VERSION_1800v2008
1232
;
1233
; Synonyms for each string are also recognized:
1234
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
1235
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
1236
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
1237
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
1238
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
1239
 
1240
 
1241
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
1242
 
1243
; Specify whether the Verilog system task $fopen or vpi_mcd_open()
1244
; will create directories that do not exist when opening the file
1245
; in "a" or "w" mode.
1246
; The default is 0 (do not create non-existent directories)
1247
; CreateDirForFileAccess = 1
1248
 
1249
; Specify default options for the restart command. Options can be one
1250
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
1251
; DefaultRestartOptions = -force
1252
 
1253
 
1254
; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
1255
; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
1256
; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
1257
; The list of options must be delimited by commas, without spaces or tabs.
1258
;
1259
; Some examples
1260
; To turn on all available UVM-aware debug features:
1261
; UVMControl = all
1262
; To turn on the struct window, mesage logging, and transaction logging:
1263
; UVMControl = struct,msglog,trlog
1264
; To turn on all options except certe:
1265
; UVMControl = all,-certe
1266
; To completely disable all UVM-aware debug functionality:
1267
; UVMControl = disable
1268
 
1269
; Specify the WildcardFilter setting.
1270
; A space separated list of object types to be excluded when performing
1271
; wildcard matches with log, wave, etc commands.  The default value for this variable is:
1272
;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
1273
; See "Using the WildcardFilter Preference Variable" in the documentation for
1274
; details on how to use this variable and for descriptions of the filter types.
1275
WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
1276
 
1277
; Specify the WildcardSizeThreshold setting.
1278
; This integer setting specifies the size at which objects will be excluded when
1279
; performing wildcard matches with log, wave, etc commands.  Objects of size equal
1280
; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
1281
; matches.  The size is a simple calculation of number of bits or items in the object.
1282
; The default value is 8k (8192).  Setting this value to 0 will disable the checking
1283
; of object size against this threshold and allow all objects of any size to be logged.
1284
WildcardSizeThreshold = 8192
1285
 
1286
; Specify whether warning messages are output when objects are filtered out due to the
1287
; WildcardSizeThreshold.  The default is 0 (no messages generated).
1288
WildcardSizeThresholdVerbose = 0
1289
 
1290
; Turn on (1) or off (0) WLF file compression.
1291
; The default is 1 (compress WLF file).
1292
; WLFCompress = 0
1293
 
1294
; Specify whether to save all design hierarchy (1) in the WLF file
1295
; or only regions containing logged signals (0).
1296
; The default is 0 (save only regions with logged signals).
1297
; WLFSaveAllRegions = 1
1298
 
1299
; WLF file time limit.  Limit WLF file by time, as closely as possible,
1300
; to the specified amount of simulation time.  When the limit is exceeded
1301
; the earliest times get truncated from the file.
1302
; If both time and size limits are specified the most restrictive is used.
1303
; UserTimeUnits are used if time units are not specified.
1304
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
1305
; WLFTimeLimit = 0
1306
 
1307
; WLF file size limit.  Limit WLF file size, as closely as possible,
1308
; to the specified number of megabytes.  If both time and size limits
1309
; are specified then the most restrictive is used.
1310
; The default is 0 (no limit).
1311
; WLFSizeLimit = 1000
1312
 
1313
; Specify whether or not a WLF file should be deleted when the
1314
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
1315
; The default is 0 (do not delete WLF file when simulation ends).
1316
; WLFDeleteOnQuit = 1
1317
 
1318
; Specify whether or not a WLF file should be optimized during
1319
; simulation.  If set to 0, the WLF file will not be optimized.
1320
; The default is 1, optimize the WLF file.
1321
; WLFOptimize = 0
1322
 
1323
; Specify the name of the WLF file.
1324
; The default is vsim.wlf
1325
; WLFFilename = vsim.wlf
1326
 
1327
; Specify whether to lock the WLF file.
1328
; Locking the file prevents other invocations of ModelSim/Questa tools from
1329
; inadvertently overwriting the WLF file.
1330
; The default is 1, lock the WLF file.
1331
; WLFFileLock = 0
1332
 
1333
; Specify the update interval for the WLF file in live simulation.
1334
; The interval is given in seconds.
1335
; The value is the smallest interval between WLF file updates.  The WLF file
1336
; will be flushed (updated) after (at least) the interval has elapsed, ensuring
1337
; that the data is correct when viewed from a separate viewer.
1338
; A value of 0 means that no updating will occur.
1339
; The default value is 10 seconds.
1340
; WLFUpdateInterval = 10
1341
 
1342
; Specify the WLF cache size limit for WLF files.
1343
; The value is given in megabytes.  A value of 0 turns off the cache.
1344
; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
1345
; On Windows, the default value is 1000 (megabytes) to help to avoid filling
1346
; process memory.
1347
; WLFSimCacheSize allows a different cache size to be set for a live simulation
1348
; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
1349
; is not set, it defaults to the WLFCacheSize value.
1350
; WLFCacheSize = 2000
1351
; WLFSimCacheSize = 500
1352
 
1353
; Specify the WLF file event collapse mode.
1354
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1355
; 1 = Only record values of logged objects at the end of a simulator iteration.
1356
;     (same as -wlfcollapsedelta)
1357
; 2 = Only record values of logged objects at the end of a simulator time step.
1358
;     (same as -wlfcollapsetime)
1359
; The default is 1.
1360
; WLFCollapseMode = 0
1361
 
1362
; Specify whether WLF file logging can use threads on multi-processor machines.
1363
; If 0, no threads will be used; if 1, threads will be used if the system has
1364
; more than one processor.
1365
; WLFUseThreads = 1
1366
 
1367
; Specify the size of objects that will trigger "large object" messages
1368
; at log/wave/list time.  The size calculation of the object is the same as that
1369
; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
1370
; Setting LargeObjectSize to 0 will disable these messages.
1371
; LargeObjectSize = 500000
1372
 
1373
; Specify the depth of stack frames returned by $stacktrace([level]).
1374
; This depth will be picked up when the optional 'level' argument
1375
; is not specified or its value is not a positive integer.
1376
; StackTraceDepth = 100
1377
 
1378
; Turn on/off undebuggable SystemC type warnings. Default is on.
1379
; ShowUndebuggableScTypeWarning = 0
1380
 
1381
; Turn on/off unassociated SystemC name warnings. Default is off.
1382
; ShowUnassociatedScNameWarning = 1
1383
 
1384
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1385
; ScShowIeeeDeprecationWarnings = 1
1386
 
1387
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1388
; ScEnableScSignalWriteCheck = 1
1389
 
1390
; Set SystemC default time unit.
1391
; Set to fs, ps, ns, us, ms, or sec with optional
1392
; prefix of 1, 10, or 100.  The default is 1 ns.
1393
; The ScTimeUnit value is honored if it is coarser than Resolution.
1394
; If ScTimeUnit is finer than Resolution, it is set to the value
1395
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1396
; then the default time unit will be 1 ns.  However if Resolution
1397
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1398
ScTimeUnit = ns
1399
 
1400
; Set SystemC sc_main stack size. The stack size is set as an integer
1401
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1402
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1403
; on the amount of data on the sc_main() stack and the memory required
1404
; to succesfully execute the longest function call chain of sc_main().
1405
ScMainStackSize = 10 Mb
1406
 
1407
; Set SystemC thread stack size. The stack size is set as an integer
1408
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1409
; Gb(Giga-byte). The stack size for sc_thread depends
1410
; on the amount of data on the sc_thread stack and the memory required
1411
; to succesfully execute the thread.
1412
; ScStackSize = 1 Mb
1413
 
1414
; Turn on/off execution of remainder of sc_main upon quitting the current
1415
; simulation session. If the cumulative length of sc_main() in terms of
1416
; simulation time units is less than the length of the current simulation
1417
; run upon quit or restart, sc_main() will be in the middle of execution.
1418
; This switch gives the option to execute the remainder of sc_main upon
1419
; quitting simulation. The drawback of not running sc_main till the end
1420
; is memory leaks for objects created by sc_main. If on, the remainder of
1421
; sc_main will be executed ignoring all delays. This may cause the simulator
1422
; to crash if the code in sc_main is dependent on some simulation state.
1423
; Default is on.
1424
ScMainFinishOnQuit = 1
1425
 
1426
; Enable calling of the DPI export taks/functions from the
1427
; SystemC start_of_simulation() callback.
1428
; The default is off.
1429
; EnableDpiSosCb = 1
1430
 
1431
 
1432
; Set the SCV relationship name that will be used to identify phase
1433
; relations.  If the name given to a transactor relation matches this
1434
; name, the transactions involved will be treated as phase transactions
1435
ScvPhaseRelationName = mti_phase
1436
 
1437
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1438
; Some common causes of the end of simulation are $finish (implicit or explicit),
1439
; sc_stop(), tf_dofinish(), and assertion failures.
1440
; This should be set to "ask", "exit", or "stop". The default is "ask".
1441
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1442
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1443
;            whether or not to quit the simulation.
1444
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1445
;            post-simulation tasks easier.
1446
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1447
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1448
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1449
OnFinish = ask
1450
 
1451
; Print pending deferred assertion messages.
1452
; Deferred assertion messages may be scheduled after the $finish in the same
1453
; time step. Deferred assertions scheduled to print after the $finish are
1454
; printed before exiting with severity level NOTE since it's not known whether
1455
; the assertion is still valid due to being printed in the active region
1456
; instead of the reactive region where they are normally printed.
1457
; OnFinishPendingAssert = 1;
1458
 
1459
; Print "simstats" result. Default is 0.
1460
; 0 == do not print simstats
1461
; 1 == print at end of simulation
1462
; 2 == print at end of each run command and end of simulation
1463
; PrintSimStats = 1
1464
 
1465
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1466
; AssertFile = assert.log
1467
 
1468
; Enable assertion counts. Default is off.
1469
; AssertionCounts = 1
1470
 
1471
; Run simulator in assertion debug mode. Default is off.
1472
; AssertionDebug = 1
1473
 
1474
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1475
; AssertionEnable = 0
1476
 
1477
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1478
; Any positive integer, -1 for infinity.
1479
; AssertionLimit = 1
1480
 
1481
; Turn on/off concurrent assertion pass log. Default is off.
1482
; Assertion pass logging is only enabled when assertion is browseable
1483
; and assertion debug is enabled.
1484
; AssertionPassLog = 1
1485
 
1486
; Turn on/off PSL concurrent assertion fail log. Default is on.
1487
; The flag does not affect SVA
1488
; AssertionFailLog = 0
1489
 
1490
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1491
; AssertionFailLocalVarLog = 0
1492
 
1493
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1494
; 0 = Continue  1 = Break  2 = Exit
1495
; AssertionFailAction = 1
1496
 
1497
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1498
; AssertionActiveThreadMonitor = 1
1499
 
1500
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1501
; AssertionActiveThreadMonitorLimit = 5
1502
 
1503
; Assertion thread limit after which assertion would be killed/switched off.
1504
; The default is -1 (unlimited). If the number of threads for an assertion go
1505
; beyond this limit, the assertion would be either switched off or killed. This
1506
; limit applies to only assert directives.
1507
;AssertionThreadLimit = -1
1508
 
1509
; Action to be taken once the assertion thread limit is reached. Default
1510
; is kill. It can have a value of off or kill. In case of kill, all the existing
1511
; threads are terminated and no new attempts are started. In case of off, the
1512
; existing attempts keep on evaluating but no new attempts are started. This
1513
; variable applies to only assert directives.
1514
;AssertionThreadLimitAction = kill
1515
 
1516
; Cover thread limit after which cover would be killed/switched off.
1517
; The default is -1 (unlimited). If the number of threads for a cover go
1518
; beyond this limit, the cover would be either switched off or killed. This
1519
; limit applies to only cover directives.
1520
;CoverThreadLimit = -1
1521
 
1522
; Action to be taken once the cover thread limit is reached. Default
1523
; is kill. It can have a value of off or kill. In case of kill, all the existing
1524
; threads are terminated and no new attempts are started. In case of off, the
1525
; existing attempts keep on evaluating but no new attempts are started. This
1526
; variable applies to only cover directives.
1527
;CoverThreadLimitAction = kill
1528
 
1529
 
1530
; By default immediate assertions do not participate in Assertion Coverage calculations
1531
; unless they are executed.  This switch causes all immediate assertions in the design
1532
; to participate in Assertion Coverage calculations, whether attempted or not.
1533
; UnattemptedImmediateAssertions = 0
1534
 
1535
; By default immediate covers participate in Coverage calculations
1536
; whether they are attempted or not. This switch causes all unattempted
1537
; immediate covers in the design to stop participating in Coverage
1538
; calculations.
1539
; UnattemptedImmediateCovers = 0
1540
 
1541
; By default pass action block is not executed for assertions on vacuous
1542
; success. The following variable is provided to enable execution of
1543
; pass action block on vacuous success. The following variable is only effective
1544
; if the user does not disable pass action block execution by using either
1545
; system tasks or CLI. Also there is a performance penalty for enabling
1546
; the following variable.
1547
;AssertionEnableVacuousPassActionBlock = 1
1548
 
1549
; As per strict 1850-2005 PSL LRM, an always property can either pass
1550
; or fail. However, by default, Questa reports multiple passes and
1551
; multiple fails on top always/never property (always/never operator
1552
; is the top operator under Verification Directive). The reason
1553
; being that Questa reports passes and fails on per attempt of the
1554
; top always/never property. Use the following flag to instruct
1555
; Questa to strictly follow LRM. With this flag, all assert/never
1556
; directives will start an attempt once at start of simulation.
1557
; The attempt can either fail, match or match vacuously.
1558
; For e.g. if always is the top operator under assert, the always will
1559
; keep on checking the property at every clock. If the property under
1560
; always fails, the directive will be considered failed and no more
1561
; checking will be done for that directive. A top always property,
1562
; if it does not fail, will show a pass at end of simulation.
1563
; The default value is '0' (i.e. zero is off). For example:
1564
; PslOneAttempt = 1
1565
 
1566
; Specify the number of clock ticks to represent infinite clock ticks.
1567
; This affects eventually!, until! and until_!. If at End of Simulation
1568
; (EOS) an active strong-property has not clocked this number of
1569
; clock ticks then neither pass or fail (vacuous match) is returned
1570
; else respective fail/pass is returned. The default value is '0' (zero)
1571
; which effectively does not check for clock tick condition. For example:
1572
; PslInfinityThreshold = 5000
1573
 
1574
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1575
; instance.  Default is -1 (ALL).
1576
; ATVStartTimeKeepCount = -1
1577
 
1578
; Turn on/off code coverage
1579
; CodeCoverage = 0
1580
 
1581
; This option applies to condition and expression coverage UDP tables. It
1582
; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
1583
; If this option is used and a match occurs in more than one row in the UDP table,
1584
; none of the counts for all matching rows is incremented. By default, counts are
1585
; incremented for all matching rows.
1586
; CoverCountAll = 1
1587
 
1588
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1589
; is to include them.
1590
; ToggleNoIntegers = 1
1591
 
1592
; Set the maximum number of values that are collected for toggle coverage of
1593
; VHDL integers. Default is 100;
1594
; ToggleMaxIntValues = 100
1595
 
1596
; Set the maximum number of values that are collected for toggle coverage of
1597
; Verilog real. Default is 100;
1598
; ToggleMaxRealValues = 100
1599
 
1600
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1601
; for enumeration types. Default is to include them.
1602
; ToggleVlogIntegers = 0
1603
 
1604
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1605
; for shortreal types. Default is to not include them.
1606
; ToggleVlogReal = 1
1607
 
1608
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1609
; and VHDL arrays-of-arrays in toggle coverage.
1610
; Default is to not include them.
1611
; ToggleFixedSizeArray = 1
1612
 
1613
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1614
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1615
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1616
; Default is 1024.
1617
; ToggleMaxFixedSizeArray = 1024
1618
 
1619
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1620
; one-dimensional packed vectors for toggle coverage. Default is 0.
1621
; TogglePackedAsVec = 0
1622
 
1623
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1624
; toggle coverage. Default is 0.
1625
; ToggleVlogEnumBits = 0
1626
 
1627
; Turn off automatic inclusion of VHDL records in toggle coverage.
1628
; Default is to include them.
1629
; ToggleVHDLRecords = 0
1630
 
1631
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1632
; For unlimited width, set to 0.
1633
; ToggleWidthLimit = 128
1634
 
1635
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1636
; reached this count, further activity on the bit is ignored. Default is 1.
1637
; For unlimited counts, set to 0.
1638
; ToggleCountLimit = 1
1639
 
1640
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1641
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1642
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1643
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1644
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1645
; ExtendedToggleMode = 3
1646
 
1647
; Enable toggle statistics collection only for ports. Default is 0.
1648
; TogglePortsOnly = 1
1649
 
1650
; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
1651
; reached this count, further tracking of the input patterns linked to it is ignored.
1652
; Default is 1. For unlimited counts, set to 0.
1653
; NOTE: Changing this value from its default value may affect simulation performance.
1654
; FecCountLimit = 1
1655
 
1656
; Limit the counts that are tracked for UDP Coverage. When a bin has
1657
; reached this count, further tracking of the input patterns linked to it is ignored.
1658
; Default is 1. For unlimited counts, set to 0.
1659
; NOTE: Changing this value from its default value may affect simulation performance.
1660
; UdpCountLimit = 1
1661
 
1662
; Control toggle coverage deglitching period. A period of 0, eliminates delta
1663
; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
1664
; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
1665
; ToggleDeglitchPeriod = 10.0ps
1666
 
1667
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1668
; CoverEnable = 0
1669
 
1670
; Turn on/off PSL/SVA cover log.  Default is off "0".
1671
; CoverLog = 1
1672
 
1673
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1674
; CoverAtLeast = 2
1675
 
1676
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1677
; Any positive integer, -1 for infinity.
1678
; CoverLimit = 1
1679
 
1680
; Specify the coverage database filename.
1681
; Default is "" (i.e. database is NOT automatically saved on close).
1682
; UCDBFilename = vsim.ucdb
1683
 
1684
; Specify the maximum limit for the number of Cross (bin) products reported
1685
; in XML and UCDB report against a Cross. A warning is issued if the limit
1686
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1687
; setting.
1688
; MaxReportRhsSVCrossProducts = 1000
1689
 
1690
; Specify the override for the "auto_bin_max" option for the Covergroups.
1691
; If not specified then value from Covergroup "option" is used.
1692
; SVCoverpointAutoBinMax = 64
1693
 
1694
; Specify the override for the value of "cross_num_print_missing"
1695
; option for the Cross in Covergroups. If not specified then value
1696
; specified in the "option.cross_num_print_missing" is used. This
1697
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1698
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1699
; specified in modelsim.ini.
1700
; SVCrossNumPrintMissing = 0
1701
 
1702
; Specify whether to use the value of "cross_num_print_missing"
1703
; option in report and GUI for the Cross in Covergroups. If not specified then
1704
; cross_num_print_missing is ignored for creating reports and displaying
1705
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1706
; UseSVCrossNumPrintMissing = 0
1707
 
1708
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1709
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1710
; SVCoverpointWildCardBinValueSizeWarn = 4096
1711
 
1712
; Specify the override for the value of "strobe" option for the
1713
; Covergroup Type. If not specified then value in "type_option.strobe"
1714
; will be used. This is runtime option which forces "strobe" to
1715
; user specified value and supersedes user specified values in the
1716
; SystemVerilog Code. NOTE: This also overrides the compile time
1717
; default value override specified using "SVCovergroupStrobeDefault"
1718
; SVCovergroupStrobe = 0
1719
 
1720
; Override for explicit assignments in source code to "option.goal" of
1721
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1722
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1723
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1724
; SVCovergroupGoal = 100
1725
 
1726
; Override for explicit assignments in source code to "type_option.goal" of
1727
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1728
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1729
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1730
; SVCovergroupTypeGoal = 100
1731
 
1732
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1733
; builtin functions, and report. This setting changes the default values of
1734
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1735
; behavior if explicit assignments are not made on option.get_inst_coverage and
1736
; type_option.merge_instances by the user. There are two vsim command line
1737
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1738
; The default value of this variable from release 6.6 onwards is 0. This default
1739
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1740
; SVCovergroup63Compatibility = 0
1741
 
1742
; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
1743
; and report. This variable sets the default value of type_option.merge_instances.
1744
; There are two vsim command line options, -cvgmergeinstances and
1745
; -nocvgmergeinstances to override this setting from vsim command line.
1746
; The default value of this variable, -1 (don't care), allows the tool to determine
1747
; the effective value, based on factors related to capacity and optimization.
1748
; The type_option.merge_instances appears in the GUI and coverage reports as either
1749
; auto(1) or auto(0), depending on whether the effective value was determined to
1750
; be a 1 or a 0.
1751
; SVCovergroupMergeInstancesDefault = -1
1752
 
1753
; Enable or disable generation of more detailed information about the sampling
1754
; of covergroup, cross, and coverpoints. It provides the details of the number
1755
; of times the covergroup instance and type were sampled, as well as details
1756
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1757
; is to enable this feature. 0 is to disable this feature. Default is 0
1758
; SVCovergroupSampleInfo = 0
1759
 
1760
; Specify the maximum number of Coverpoint bins in whole design for
1761
; all Covergroups.
1762
; MaxSVCoverpointBinsDesign = 2147483648
1763
 
1764
; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
1765
; MaxSVCoverpointBinsInst = 1048576
1766
 
1767
; Specify the maximum number of Cross bins in whole design for
1768
; all Covergroups.
1769
; MaxSVCrossBinsDesign = 2147483648
1770
 
1771
; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
1772
; MaxSVCrossBinsInst = 67108864
1773
 
1774
; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
1775
; By default, this variable is set 0, in which case option.no_collect setting will take effect.
1776
; If this variable is set to 1, all zero-weight coverage items will not be saved.
1777
; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
1778
; of this variable.
1779
; CvgZWNoCollect = 1
1780
 
1781
; Specify a space delimited list of double quoted TCL style
1782
; regular expressions which will be matched against the text of all messages.
1783
; If any regular expression is found to be contained within any message, the
1784
; status for that message will not be propagated to the UCDB TESTSTATUS.
1785
; If no match is detected, then the status will be propagated to the
1786
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1787
; and each message text is compared for each regular expression in the list.
1788
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1789
 
1790
; Set weight for all PSL/SVA cover directives.  Default is 1.
1791
; CoverWeight = 2
1792
 
1793
; Check vsim plusargs.  Default is 0 (off).
1794
; 0 = Don't check plusargs
1795
; 1 = Warning on unrecognized plusarg
1796
; 2 = Error and exit on unrecognized plusarg
1797
; CheckPlusargs = 1
1798
 
1799
; Load the specified shared objects with the RTLD_GLOBAL flag.
1800
; This gives global visibility to all symbols in the shared objects,
1801
; meaning that subsequently loaded shared objects can bind to symbols
1802
; in the global shared objects.  The list of shared objects should
1803
; be whitespace delimited.  This option is not supported on the
1804
; Windows or AIX platforms.
1805
; GlobalSharedObjectList = example1.so example2.so example3.so
1806
 
1807
; Generate the stub definitions for the undefined symbols in the shared libraries being
1808
; loaded in the simulation. When this flow is turned on, the undefined symbols will not
1809
; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
1810
; The valid arguments are: on, off, verbose.
1811
;     on : turn on the automatic generation of stub definitions.
1812
;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
1813
;     verbose: Turn on the flow and report the undefined symbols for each shared library.
1814
; NOTE: This variable can be overriden with vsim switch "-undefsyms".
1815
; The default is on.
1816
;
1817
; UndefSyms = off
1818
 
1819
; Enable the support for checkpointing foreign C++ libraries.
1820
; The valid arguments are: 1 and 0.
1821
;     1 : turn on the support
1822
;     0 : turn off the support (default)
1823
; This option is not supported on the Windows platforms.
1824
;
1825
; AllowCheckpointCpp = 1
1826
 
1827
; Initial seed for the random number generator of the root thread (SystemVerilog).
1828
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1829
; The default value is 0.
1830
; Sv_Seed = 0
1831
 
1832
; Specify the solver "engine" that vsim will select for constrained random
1833
; generation.
1834
; Valid values are:
1835
;    "auto" - automatically select the best engine for the current
1836
;             constraint scenario
1837
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1838
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1839
; While the BDD solver engine is generally efficient with constraint scenarios
1840
; involving bitwise logical relationships, the ACT solver engine can exhibit
1841
; superior performance with constraint scenarios involving large numbers of
1842
; random variables related via arithmetic operators (+, *, etc).
1843
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1844
; line switch.
1845
; The default value is "auto".
1846
; SolveEngine = auto
1847
 
1848
; Specifies the maximum size that a dynamic array may be resized to by the
1849
; solver. If the solver attempts to resize a dynamic array to a size greater
1850
; than the specified limit, the solver will abort with an error.
1851
; The default value is 10000. A value of 0 indicates no limit.
1852
; SolveArrayResizeMax = 10000
1853
 
1854
; Error message severity when normal randomize() and randomize(null) failures are detected.
1855
; Integer value up to two digits are allowed with each digit having the following legal values:
1856
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1857
;
1858
; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
1859
;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
1860
;    represents the setting for randomize(null) calls.
1861
;
1862
; 2) When a single digit value is used, the setting is applied to both normal randomize() call
1863
;    and randomize(null) call.
1864
;
1865
; Example: -solvefailseverity=40 means:
1866
;   fatal error for failed normal randomize() calls and NO error for failed randomize(null) calls.
1867
;
1868
; The default is 0 (no error).
1869
; SolveFailSeverity = 0
1870
 
1871
; Error message severity for suppressible errors that are detected in a
1872
; solve/before constraint.
1873
; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
1874
; command line switch.
1875
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1876
; The default is 3 (failure).
1877
; SolveBeforeErrorSeverity = 3
1878
 
1879
; Error message severity for suppressible errors that are related to
1880
; solve engine capacity limits
1881
; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
1882
; command line switch.
1883
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1884
; The default is 3 (failure).
1885
; SolveEngineErrorSeverity = 3
1886
 
1887
; Enable/disable debug information for randomize() failures.
1888
; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
1889
; line switch.
1890
; The default is 0 (disabled). Set to 1 to enable basic debug (with no
1891
; performance penalty). Set to 2 for enhanced debug (will result in slower
1892
; runtime performance).
1893
; SolveFailDebug = 0
1894
 
1895
; Upon encountering a randomize() failure, generate a simplified testcase that
1896
; will reproduce the failure. Optionally output the testcase to a file.
1897
; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
1898
; is enabled (see above).
1899
; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
1900
; command line switch.
1901
; The default is OFF (do not generate a testcase). To enable testcase
1902
; generation, uncomment this variable. To redirect testcase generation to a
1903
; file, specify the name of the output file.
1904
; SolveFailTestcase =
1905
 
1906
; Specify solver timeout threshold (in seconds). randomize() will fail if the
1907
; CPU time required to evaluate any randset exceeds the specified timeout.
1908
; The default value is 500. A value of 0 will disable timeout failures.
1909
; SolveTimeout = 500
1910
 
1911
; Specify the maximum size of the solution graph generated by the BDD solver.
1912
; This value can be used to force the BDD solver to abort the evaluation of a
1913
; complex constraint scenario that cannot be evaluated with finite memory.
1914
; This value is specified in 1000s of nodes.
1915
; The default value is 10000. A value of 0 indicates no limit.
1916
; SolveGraphMaxSize = 10000
1917
 
1918
; Specify the maximum number of evaluations that may be performed on the
1919
; solution graph by the BDD solver. This value can be used to force the BDD
1920
; solver to abort the evaluation of a complex constraint scenario that cannot
1921
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1922
; The default value is 10000. A value of 0 indicates no limit.
1923
; SolveGraphMaxEval = 10000
1924
 
1925
; Specify random sequence compatiblity with a prior letter release. This
1926
; option is used to get the same random sequences during simulation as
1927
; as a prior letter release. Only prior letter releases (of the current
1928
; number release) are allowed.
1929
; NOTE: Only those random sequence changes due to solver optimizations are
1930
; reverted by this variable. Random sequence changes due to solver bugfixes
1931
; cannot be un-done.
1932
; NOTE: This variable can be overridden with the vsim "-solverev" command
1933
; line switch.
1934
; Default value set to "" (no compatibility).
1935
; SolveRev =
1936
 
1937
; Environment variable expansion of command line arguments has been depricated
1938
; in favor shell level expansion.  Universal environment variable expansion
1939
; inside -f files is support and continued support for MGC Location Maps provide
1940
; alternative methods for handling flexible pathnames.
1941
; The following line may be uncommented and the value set to 1 to re-enable this
1942
; deprecated behavior.  The default value is 0.
1943
; DeprecatedEnvironmentVariableExpansion = 0
1944
 
1945
; Specify the memory threshold for the System Verilog garbage collector.
1946
; The value is the number of megabytes of class objects that must accumulate
1947
; before the garbage collector is run.
1948
; The GCThreshold setting is used when class debug mode is disabled to allow
1949
; less frequent garbage collection and better simulation performance.
1950
; The GCThresholdClassDebug setting is used when class debug mode is enabled
1951
; to allow for more frequent garbage collection.
1952
; GCThreshold = 100
1953
; GCThresholdClassDebug = 5
1954
 
1955
; Turn on/off collapsing of bus ports in VCD dumpports output
1956
DumpportsCollapse = 1
1957
 
1958
; Location of Multi-Level Verification Component (MVC) installation.
1959
; The default location is the product installation directory.
1960
MvcHome = $MODEL_TECH/..
1961
 
1962
; Location of InFact installation. The default is $MODEL_TECH/../../infact
1963
;
1964
; InFactHome = $MODEL_TECH/../../infact
1965
 
1966
; Initialize SystemVerilog enums using the base type's default value
1967
; instead of the leftmost value.
1968
; EnumBaseInit = 1
1969
 
1970
; Suppress file type registration.
1971
; SuppressFileTypeReg = 1
1972
 
1973
; Enable/disable non-LRM compliant SystemVerilog language extensions.
1974
; Valid extensions are:
1975
;   altdpiheader - Alternative style function signature generated in DPI header",
1976
;   cfce         - generate an error if $cast fails as a function
1977
;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
1978
;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
1979
;   expdfmt      - enable format string extensions for $display/$sformatf
1980
;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
1981
;   fmtcap       - prints capital hex digits with %X/%H in display calls
1982
;   iddp         - ignore DPI disable protocol check
1983
;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
1984
;   noexptc      - ignore DPI export type name overloading check
1985
;   realrand     - support randomize() with real variables and constraints (Default)
1986
;   thrdrngshfl  - use the thread RNG for array.shuffle
1987
; SvExtensions = [+|-][,[+|-]*]
1988
 
1989
; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
1990
; Valid extensions are:
1991
;   arraymode    - consider rand_mode of unpacked array field independently from its elements
1992
;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles
1993
;   funcback     - enable function backtracking (ACT only)
1994
;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
1995
;   noorder      - ignore solve/before ordering constraints (ACT only)
1996
;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
1997
;   randindex    - allow random index in constraint (Default)
1998
;   randstruct   - consider all fields of unpacked structs as 'rand'
1999
;   skew         - skew randomize results (ACT only)
2000
;   strictstab   - strict random stability
2001
; SvRandExtensions = [+|-][,[+|-]*]
2002
 
2003
; Controls the formatting of '%p' and '%P' conversion specification, used in $display
2004
; and similar system tasks.
2005
; 1. SVPrettyPrintFlags=I use  spaces(S) or tabs(T) per indentation level.
2006
;    The 'I' flag when present causes relevant data types to be expanded and indented into
2007
;    a more readable format.
2008
;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
2009
; 2. SVPrettyPrintFlags=L limits the output to  lines.
2010
;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
2011
; 3. SVPrettyPrintFlags=C limits the output to  characters.
2012
;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
2013
; 4. SVPrettyPrintFlags=F limits the output to  of relevant datatypes
2014
;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
2015
; 5. SVPrettyPrintFlags=E limits the output to  of relevant datatypes
2016
;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
2017
; 6. SVPrettyPrintFlags=D suppresses the output of sub-elements below .
2018
;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
2019
; 7. SVPrettyPrintFlags=R shows the output of specifier %p as per the specifed radix.
2020
;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
2021
;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
2022
; 8. Items 1-7 above can be combined as a comma separated list.
2023
;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
2024
; SVPrettyPrintFlags=I4S
2025
 
2026
[lmc]
2027
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
2028
libsm = $MODEL_TECH/libsm.sl
2029
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
2030
; libsm = $MODEL_TECH/libsm.dll
2031
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
2032
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
2033
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
2034
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
2035
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
2036
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
2037
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
2038
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
2039
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
2040
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
2041
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
2042
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
2043
 
2044
; The simulator's interface to Logic Modeling's hardware modeler SFI software
2045
libhm = $MODEL_TECH/libhm.sl
2046
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
2047
; libhm = $MODEL_TECH/libhm.dll
2048
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
2049
; libsfi = /lib/hp700/libsfi.sl
2050
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
2051
; libsfi = /lib/rs6000/libsfi.a
2052
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
2053
; libsfi = /lib/sun4.solaris/libsfi.so
2054
;  Logic Modeling's hardware modeler SFI software (Windows NT)
2055
; libsfi = /lib/pcnt/lm_sfi.dll
2056
;  Logic Modeling's hardware modeler SFI software (Linux)
2057
; libsfi = /lib/linux/libsfi.so
2058
 
2059
[msg_system]
2060
; Change a message severity or suppress a message.
2061
; The format is:  = [,...]
2062
; suppress can be used to achieve +nowarn functionality
2063
; The format is: suppress = ,,[,,...]
2064
; Examples:
2065
suppress = 8780 ;an explanation can be had by running: verror 8780
2066
;   note = 3009
2067
;   warning = 3033
2068
;   error = 3010,3016
2069
;   fatal = 3016,3033
2070
;   suppress = 3009,3016,3601
2071
;   suppress = 3009,CNNODP,3601,TFMPC
2072
;   suppress = 8683,8684
2073
; The command verror  can be used to get the complete
2074
; description of a message.
2075
 
2076
; Control transcripting of Verilog display system task messages and
2077
; PLI/FLI print function call messages.  The system tasks include
2078
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
2079
; also include the analogous file I/O tasks that write to STDOUT
2080
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
2081
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
2082
; is to have messages appear only in the transcript.  The other
2083
; settings are to send messages to the wlf file only (messages that
2084
; are recorded in the wlf file can be viewed in the MsgViewer) or
2085
; to both the transcript and the wlf file.  The valid values are
2086
;    tran  {transcript only (default)}
2087
;    wlf   {wlf file only}
2088
;    both  {transcript and wlf file}
2089
; displaymsgmode = tran
2090
 
2091
; Control transcripting of elaboration/runtime messages not
2092
; addressed by the displaymsgmode setting.  The default is to
2093
; have messages appear only in the transcript.  The other settings
2094
; are to send messages to the wlf file only (messages that are
2095
; recorded in the wlf file can be viewed in the MsgViewer) or to both
2096
; the transcript and the wlf file. The valid values are
2097
;    tran  {transcript only (default)}
2098
;    wlf   {wlf file only}
2099
;    both  {transcript and wlf file}
2100
; msgmode = tran
2101
 
2102
; Controls number of displays of a particluar message
2103
; default value is 5
2104
; MsgLimitCount = 5
2105
 
2106
[utils]
2107
; Default Library Type (while creating a library with "vlib")
2108
;  0 - legacy library using subdirectories for design units
2109
;  2 - flat library
2110
; DefaultLibType = 2
2111
 
2112
; Flat Library Page Size (while creating a library with "vlib")
2113
; Set the size in bytes for flat library file pages.  Libraries containing
2114
; very large files may benefit from a larger value.
2115
; FlatLibPageSize = 8192
2116
 
2117
; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
2118
; Set the percentage of total pages deleted before library cleanup can occur.
2119
; This setting is applied together with FlatLibPageDeleteThreshold.
2120
; FlatLibPageDeletePercentage = 50
2121
 
2122
; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
2123
; Set the number of pages deleted before library cleanup can occur.
2124
; This setting is applied together with FlatLibPageDeletePercentage.
2125
; FlatLibPageDeleteThreshold = 1000
2126
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.