OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [script/] [synfull/] [transcript] - Blame information for rev 54

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
# //  Questa Sim-64
2
# //  Version 10.7c linux_x86_64 Aug 17 2018
3
# //
4
# //  Copyright 1991-2018 Mentor Graphics Corporation
5
# //  All Rights Reserved.
6
# //
7
# //  QuestaSim and its associated documentation contain trade
8
# //  secrets and commercial or financial information that are the property of
9
# //  Mentor Graphics Corporation and are privileged, confidential,
10
# //  and exempt from disclosure under the Freedom of Information Act,
11
# //  5 U.S.C. Section 552. Furthermore, this information
12
# //  is prohibited from disclosure under the Trade Secrets Act,
13
# //  18 U.S.C. Section 1905.
14
# //
15
# do model.tcl
16
# /mtitcl/vsim
17
# /home/alireza/work/git/hca_git/ProNoC/mpsoc/script/synfull
18
# /home/alireza/work/git/hca_git/ProNoC/mpsoc/script/synfull/dpi_interface
19
# synfull_top
20
# /home/alireza/work/git/hca_git/mpsoc_work/simulation/rtl_work
21
# if {[file exists $rtl_work]} {
22
#       vdel -lib $rtl_work -all
23
# }
24
# ** Warning: (vdel-134) Unable to remove locked optimized design "_opt2".  Locker is alireza@alireza-7490.
25
# vlib $rtl_work
26
# ** Warning: (vlib-34) Library already exists at "/home/alireza/work/git/hca_git/mpsoc_work/simulation/rtl_work".
27
# vmap work $rtl_work
28
# QuestaSim-64 vmap 10.7c Lib Mapping Utility 2018.08 Aug 17 2018
29
# vmap work /home/alireza/work/git/hca_git/mpsoc_work/simulation/rtl_work
30
# Modifying modelsim.ini
31
#
32
#
33
# vlog  +acc=rn  -F $::env(LM_FILE_LIST)
34
# QuestaSim-64 vlog 10.7c Compiler 2018.08 Aug 17 2018
35
# Start time: 17:35:40 on Mar 01,2022
36
# vlog -reportprogress 300 "+acc=rn" -F /home/alireza/work/git/hca_git/ProNoC/mpsoc/script/synfull/modelsim_filelist.f
37
# -- Compiling package pronoc_pkg
38
# -- Compiling module pronoc_register
39
# -- Compiling module pronoc_register_reset_init
40
# -- Compiling module pronoc_register_reset_init_ld_en
41
# -- Compiling module pronoc_register_ld_en
42
# -- Compiling module one_hot_mux
43
# -- Compiling module one_hot_demux
44
# -- Compiling module custom_or
45
# -- Compiling module outport_sum
46
# -- Compiling module bin_to_one_hot
47
# -- Compiling module one_hot_to_bin
48
# -- Compiling module binary_mux
49
# -- Compiling module accumulator
50
# -- Compiling module set_bits_counter
51
# -- Compiling module is_onehot0
52
# -- Compiling module fast_minimum_number
53
# -- Compiling module parallel_counter
54
# -- Compiling module CS_GEN
55
# -- Compiling module PC_7_3
56
# -- Compiling module PC_15_4
57
# -- Compiling module PC_31_5
58
# -- Compiling module PC_63_6
59
# -- Compiling module PC_127_7
60
# -- Compiling module start_delay_gen
61
# -- Compiling module arbiter
62
# -- Compiling module arbiter_priority_en
63
# -- Compiling module my_one_hot_arbiter
64
# -- Compiling module arbiter_2_one_hot
65
# -- Compiling module arbiter_3_one_hot
66
# -- Compiling module arbiter_4_one_hot
67
# -- Compiling module my_one_hot_arbiter_priority_en
68
# -- Compiling module thermo_gen
69
# -- Compiling module thermo_arbiter
70
# -- Compiling module thermo_arbiter_priority_en
71
# -- Compiling module thermo_arbiter_ext_priority
72
# -- Compiling module tree_arbiter
73
# -- Compiling module my_one_hot_arbiter_ext_priority
74
# -- Compiling module arbiter_ext_priority
75
# -- Compiling module fixed_priority_arbiter
76
# -- Compiling module class_ovc_table
77
# -- Compiling module vc_priority_based_dest_port
78
# -- Compiling module ss_allocator
79
# -- Importing package pronoc_pkg
80
# -- Compiling module ssa_per_vc
81
# -- Compiling module ssa_check_destport
82
# -- Compiling module add_ss_port
83
# -- Compiling module tranc_xy_routing
84
# -- Compiling module tranc_west_first_routing
85
# -- Compiling module tranc_north_last_routing
86
# -- Compiling module tranc_negetive_first_routing
87
# -- Compiling module tranc_duato_routing
88
# -- Compiling module tranc_dir
89
# -- Compiling module header_flit_generator
90
# -- Compiling module extract_header_flit_info
91
# -- Compiling module header_flit_update_lk_route_ovc
92
# -- Compiling module hdr_flit_weight_update
93
# -- Compiling module noc_top
94
# -- Compiling module noc_top_v
95
# -- Compiling module fattree_noc_top
96
# -- Compiling module fattree_nca_random_up_routing
97
# -- Compiling module fattree_nca_destp_up_routing
98
# -- Compiling module fattree_nca_straight_up_routing
99
# -- Compiling module fattree_destport_up_select
100
# -- Compiling module fattree_conventional_routing
101
# -- Compiling module fattree_look_ahead_routing
102
# -- Compiling module fattree_deterministic_look_ahead_routing
103
# -- Compiling module fattree_destport_decoder
104
# -- Compiling module fattree_mask_non_assignable_destport
105
# -- Compiling module fattree_distance_gen
106
# -- Compiling module fattree_addr_encoder
107
# -- Compiling module fattree_addr_decoder
108
# -- Compiling module fattree_ssa_check_destport
109
# -- Compiling module fattree_router_addr_decode
110
# -- Compiling module fattree_destp_generator
111
# -- Compiling module comb_nonspec_allocator
112
# -- Compiling module comb_nonspec_v2_allocator
113
# -- Compiling module nonspec_sw_alloc
114
# -- Compiling module swa_input_port_arbiter
115
# -- Compiling module swa_output_port_arbiter
116
# -- Compiling module inout_ports
117
# -- Compiling module output_vc_status
118
# -- Compiling module vc_alloc_request_gen
119
# -- Compiling module vc_alloc_request_gen_determinstic
120
# -- Compiling module wrra
121
# -- Compiling module rra_priority_lock
122
# -- Compiling module weight_counter
123
# -- Compiling module classic_weight_counter
124
# -- Compiling module weight_control
125
# -- Compiling module wrra_contention_gen
126
# -- Compiling module wrra_inputport_destports_sum
127
# -- Compiling module weights_update
128
# -- Compiling module weight_update_per_port
129
# -- Compiling module output_weight_latch
130
# -- Compiling module input_ports
131
# -- Compiling module input_queue_per_port
132
# -- Compiling module destp_generator
133
# -- Compiling module custom_topology_destp_decoder
134
# -- Compiling module tree_noc_top
135
# -- Compiling module tree_nca_routing
136
# -- Compiling module tree_conventional_routing
137
# -- Compiling module tree_deterministic_look_ahead_routing
138
# -- Compiling module tree_look_ahead_routing
139
# -- Compiling module tree_destport_decoder
140
# -- Compiling module tree_destp_generator
141
# -- Compiling module comb_spec1_allocator
142
# -- Compiling module spec_sw_alloc
143
# -- Compiling module sw_alloc_sub
144
# -- Compiling module combined_vc_sw_alloc
145
# -- Compiling module mesh_torus_look_ahead_routing
146
# -- Compiling module mesh_torus_deterministic_look_ahead_routing
147
# -- Compiling module mesh_torus_adaptive_look_ahead_routing
148
# -- Compiling module mesh_torus_next_router_addr_predictor
149
# -- Compiling module mesh_torus_next_router_inport_predictor
150
# -- Compiling module remove_sw_loc_one_hot
151
# -- Compiling module remove_receive_port_one_hot
152
# -- Compiling module add_sw_loc_one_hot
153
# -- Compiling module add_sw_loc_one_hot_val
154
# -- Compiling module mesh_torus_conventional_routing
155
# -- Compiling module tranc_ring_routing
156
# -- Compiling module xy_line_routing
157
# -- Compiling module line_ring_encode_dstport
158
# -- Compiling module line_ring_decode_dstport
159
# -- Compiling module mesh_tori_decode_dstport
160
# -- Compiling module baseline_allocator
161
# -- Compiling module canonical_vc_alloc
162
# -- Compiling module spec_sw_alloc_can
163
# -- Compiling module comb_spec2_allocator
164
# -- Compiling module spec_sw_alloc2
165
# -- Compiling module sw_alloc_sub2
166
# -- Compiling module spec_sw_alloc_sub2
167
# -- Compiling module flit_buffer_reg_base
168
# -- Compiling module xy_mesh_routing
169
# -- Compiling module west_first_routing
170
# -- Compiling module north_last_routing
171
# -- Compiling module negetive_first_routing
172
# -- Compiling module odd_even_routing
173
# -- Compiling module duato_mesh_routing
174
# -- Compiling module mesh_dir
175
# -- Compiling module mesh_tori_encode_dstport
176
# -- Compiling module reduction_or
177
# -- Compiling module onehot_mux_2D
178
# -- Compiling module onehot_mux_1D
179
# -- Compiling module onehot_mux_1D_reverse
180
# -- Compiling module header_flit_info
181
# -- Compiling module smart_chanel_check
182
# -- Compiling module smart_forward_ivc_info
183
# -- Compiling module smart_bypass_chanels
184
# -- Compiling module check_straight_oport
185
# -- Compiling module smart_validity_check_per_ivc
186
# -- Compiling module smart_allocator_per_iport
187
# -- Compiling module smart_credit_manage
188
# -- Compiling module smart_credit_manage_per_vc
189
# -- Compiling module traffic_gen_top
190
# -- Compiling module injection_ratio_ctrl
191
# -- Compiling module packet_gen
192
# -- Compiling module distance_gen
193
# -- Compiling module port_presel_based_dst_ports_vc
194
# -- Compiling module port_presel_based_dst_ports_credit
195
# -- Compiling module mesh_torus_port_presel_based_dst_routers_vc
196
# -- Compiling module port_presel_based_dst_routers_ovc
197
# -- Compiling module port_pre_sel_gen
198
# -- Compiling module congestion_out_based_ivc_req
199
# -- Compiling module congestion_out_based_ivc_notgrant
200
# -- Compiling module congestion_out_based_3port_avb_ovc
201
# -- Compiling module congestion_out_based_avb_ovc_w2
202
# -- Compiling module congestion_out_based_avb_ovc_w3
203
# -- Compiling module congestion_out_based_avb_ovc_w4
204
# -- Compiling module congestion_out_based_avb_ovc_not_granted_ivc
205
# -- Compiling module parallel_count_normalize
206
# -- Compiling module normalizer
207
# -- Compiling module congestion_out_gen
208
# -- Compiling module deadlock_detector
209
# -- Compiling module output_ports
210
# -- Compiling module credit_monitor_per_ovc
211
# -- Compiling module oport_ovc_sig_gen
212
# -- Compiling module full_ovc_predictor
213
# -- Compiling module check_ovc
214
# -- Compiling module conventional_routing
215
# -- Compiling module look_ahead_routing
216
# -- Compiling module next_router_addr_selector_onehot
217
# -- Compiling module next_router_addr_selector_bin
218
# -- Compiling module router_two_stage
219
# -- Compiling module crossbar
220
# -- Compiling module iport_reg_base
221
# -- Compiling module flit_buffer
222
# -- Compiling module fifo_ram
223
# -- Compiling module fifo_ram_mem_size
224
# -- Compiling module fwft_fifo
225
# -- Compiling module fwft_fifo_with_output_clear
226
# -- Compiling module fwft_fifo_bram
227
# -- Compiling module bram_based_fifo
228
# -- Compiling module mesh_torus_vc_alloc_request_gen_adaptive
229
# -- Compiling module mesh_tori_dspt_clear_gen
230
# -- Compiling module mesh_torus_mask_non_assignable_destport
231
# -- Compiling module mesh_torus_mask_non_assignable_destport_no_self_loop
232
# -- Compiling module mesh_torus_swap_port_presel_gen
233
# -- Compiling module mesh_torus_adaptive_avb_ovc_mux
234
# -- Compiling module mesh_torus_port_selector
235
# -- Compiling module mesh_torus_adaptive_lk_dest_encoder
236
# -- Compiling module mesh_torus_dtrmn_dest_encoder
237
# -- Compiling module mesh_torus_distance_gen
238
# -- Compiling module mesh_torus_ssa_check_destport
239
# -- Compiling module line_ring_ssa_check_destport
240
# -- Compiling module mesh_tori_router_addr_decode
241
# -- Compiling module mesh_tori_endp_addr_decode
242
# -- Compiling module mesh_tori_addr_encoder
243
# -- Compiling module mesh_tori_addr_coder
244
# -- Compiling module mesh_torus_destp_generator
245
# -- Compiling module mesh_torus_destp_decoder
246
# -- Compiling module line_ring_destp_decoder
247
# -- Compiling module mesh_torus_dynamic_portsel_control
248
# -- Compiling module check_flit_chanel_type_is_in_order
249
# -- Compiling module debug_mesh_tori_route_ckeck
250
# -- Compiling module debug_mesh_edges
251
# -- Compiling module check_destination_addr
252
# -- Compiling module endp_addr_encoder
253
# -- Compiling module endp_addr_decoder
254
# -- Compiling module router_top
255
# -- Compiling module router_top_v
256
# -- Compiling module mesh_torus_noc_top
257
# -- Compiling module star_noc_top
258
# -- Compiling module star_conventional_routing
259
# -- Compiling module fmesh_addr_encoder
260
# -- Compiling module fmesh_addr_coder
261
# -- Compiling module fmesh_endp_addr_decode
262
# -- Compiling module fmesh_destp_generator
263
# -- Compiling module fmesh_destp_decoder
264
# -- Compiling module fmesh_distance_gen
265
# -- Compiling module packet_injector
266
# -- Compiling module injector_ovc_status
267
# -- Compiling module packet_injector_verilator
268
# -- Compiling module multicast_routing
269
# -- Compiling module multicast_routing_mesh
270
# -- Compiling module multicast_routing_fmesh
271
# -- Compiling module mcast_dest_list_decode
272
# -- Compiling module multicast_chan_in_process
273
# -- Compiling module multicast_dst_sel
274
# -- Compiling package dpi_int_pkg_sv_unit
275
# -- Importing package pronoc_pkg
276
# -- Compiling package dpi_int_pkg
277
# -- Compiling module pck_class_in_gen
278
# -- Compiling module pck_dst_gen
279
# -- Compiling module pck_dst_gen_unicast
280
# -- Compiling module two_dimension_pck_dst_gen
281
# -- Compiling module one_dimension_pck_dst_gen
282
# -- Compiling module pck_size_gen
283
# -- Compiling module hot_spot_dest_gen
284
# -- Compiling module pck_injector_test
285
# -- Compiling module routers_statistic_collector
286
# ** Warning: ../../rtl/src_synfull/dpi_interface.sv(13): (vlog-13314) Defaulting port 'pronoc_synfull_del_all_i' kind to 'var' rather than 'wire' due to default compile option setting of -svinputport=relaxed.
287
# -- Compiling package dpi_interface_sv_unit
288
# -- Importing package pronoc_pkg
289
# -- Importing package dpi_int_pkg
290
# -- Compiling module top_dpi_interface
291
# ** Warning: ../../rtl/src_synfull/dpi_interface.sv(13): (vlog-13314) Defaulting port 'pronoc_synfull_del_all_i' kind to 'var' rather than 'wire' due to default compile option setting of -svinputport=relaxed.
292
# -- Compiling module synfull_top
293
#
294
# Top level modules:
295
#       pronoc_register_ld_en
296
#       outport_sum
297
#       set_bits_counter
298
#       fast_minimum_number
299
#       parallel_counter
300
#       start_delay_gen
301
#       my_one_hot_arbiter_ext_priority
302
#       arbiter_ext_priority
303
#       vc_priority_based_dest_port
304
#       noc_top_v
305
#       wrra
306
#       rra_priority_lock
307
#       mesh_torus_next_router_inport_predictor
308
#       remove_receive_port_one_hot
309
#       onehot_mux_1D_reverse
310
#       traffic_gen_top
311
#       deadlock_detector
312
#       iport_reg_base
313
#       mesh_torus_dtrmn_dest_encoder
314
#       router_top_v
315
#       packet_injector_verilator
316
#       pck_class_in_gen
317
#       pck_dst_gen
318
#       pck_injector_test
319
#       synfull_top
320
# End time: 17:35:40 on Mar 01,2022, Elapsed time: 0:00:00
321
# Errors: 0, Warnings: 2
322
#
323
# vsim -t 1ps  -L $rtl_work -L work -voptargs="+acc"  $top -sv_lib $DPI_LIB
324
# vsim -t 1ps -L /home/alireza/work/git/hca_git/mpsoc_work/simulation/rtl_work -L work -voptargs=""+acc"" synfull_top -sv_lib /home/alireza/work/git/hca_git/ProNoC/mpsoc/script/synfull/dpi_interface
325
# Start time: 17:35:40 on Mar 01,2022
326
# ** Note: (vsim-3812) Design is being optimized...
327
# ** Note: (vopt-143) Recognized 1 FSM in module "packet_injector(fast)".
328
# ** Warning: ../../rtl/src_synfull/dpi_interface.sv(13): (vopt-13314) Defaulting port 'pronoc_synfull_del_all_i' kind to 'var' rather than 'wire' due to default compile option setting of -svinputport=relaxed.
329
# Loading sv_std.std
330
# Loading work.pronoc_pkg(fast)
331
# Loading work.dpi_int_pkg(fast)
332
# Loading work.synfull_top(fast)
333
# Loading work.noc_top(fast)
334
# Loading work.mesh_torus_noc_top(fast)
335
# Loading work.router_top(fast)
336
# Loading work.header_flit_info(fast)
337
# Loading work.check_flit_chanel_type_is_in_order(fast)
338
# Loading work.pronoc_register(fast)
339
# Loading work.pronoc_register_reset_init(fast)
340
# Loading work.router_two_stage(fast)
341
# Loading work.inout_ports(fast)
342
# Loading work.input_ports(fast)
343
# Loading work.input_queue_per_port(fast)
344
# Loading work.pronoc_register(fast__1)
345
# Loading work.pronoc_register_reset_init(fast__1)
346
# Loading work.extract_header_flit_info(fast)
347
# Loading work.mesh_tori_endp_addr_decode(fast)
348
# Loading work.one_hot_to_bin(fast)
349
# Loading work.class_ovc_table(fast)
350
# Loading work.onehot_mux_1D(fast__2)
351
# Loading work.onehot_mux_2D(fast__2)
352
# Loading work.fwft_fifo(fast)
353
# Loading work.pronoc_register(fast__2)
354
# Loading work.pronoc_register_reset_init(fast__2)
355
# Loading work.fwft_fifo(fast__1)
356
# Loading work.pronoc_register(fast__3)
357
# Loading work.destp_generator(fast)
358
# Loading work.mesh_torus_destp_generator(fast)
359
# Loading work.mesh_torus_destp_decoder(fast)
360
# Loading work.bin_to_one_hot(fast)
361
# Loading work.mesh_torus_mask_non_assignable_destport(fast)
362
# Loading work.remove_sw_loc_one_hot(fast)
363
# Loading work.add_sw_loc_one_hot_val(fast)
364
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast)
365
# Loading work.flit_buffer(fast)
366
# Loading work.onehot_mux_1D(fast__3)
367
# Loading work.onehot_mux_2D(fast__3)
368
# Loading work.fifo_ram(fast)
369
# Loading work.pronoc_register(fast__4)
370
# Loading work.pronoc_register_reset_init(fast__3)
371
# Loading work.look_ahead_routing(fast)
372
# Loading work.mesh_tori_router_addr_decode(fast)
373
# Loading work.mesh_torus_look_ahead_routing(fast)
374
# Loading work.mesh_torus_deterministic_look_ahead_routing(fast)
375
# Loading work.mesh_tori_decode_dstport(fast)
376
# Loading work.mesh_torus_next_router_addr_predictor(fast)
377
# Loading work.mesh_torus_conventional_routing(fast)
378
# Loading work.xy_mesh_routing(fast)
379
# Loading work.mesh_tori_encode_dstport(fast)
380
# Loading work.header_flit_update_lk_route_ovc(fast)
381
# Loading work.onehot_mux_1D(fast)
382
# Loading work.onehot_mux_2D(fast)
383
# Loading work.onehot_mux_1D(fast__1)
384
# Loading work.onehot_mux_2D(fast__1)
385
# Loading work.debug_mesh_tori_route_ckeck(fast)
386
# Loading work.input_queue_per_port(fast__1)
387
# Loading work.destp_generator(fast__1)
388
# Loading work.mesh_torus_destp_generator(fast__1)
389
# Loading work.mesh_torus_mask_non_assignable_destport(fast__1)
390
# Loading work.remove_sw_loc_one_hot(fast__1)
391
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast__1)
392
# Loading work.debug_mesh_tori_route_ckeck(fast__1)
393
# Loading work.input_queue_per_port(fast__2)
394
# Loading work.destp_generator(fast__2)
395
# Loading work.mesh_torus_destp_generator(fast__2)
396
# Loading work.mesh_torus_mask_non_assignable_destport(fast__2)
397
# Loading work.remove_sw_loc_one_hot(fast__2)
398
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast__2)
399
# Loading work.debug_mesh_tori_route_ckeck(fast__2)
400
# Loading work.input_queue_per_port(fast__3)
401
# Loading work.destp_generator(fast__3)
402
# Loading work.mesh_torus_destp_generator(fast__3)
403
# Loading work.mesh_torus_mask_non_assignable_destport(fast__3)
404
# Loading work.remove_sw_loc_one_hot(fast__3)
405
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast__3)
406
# Loading work.debug_mesh_tori_route_ckeck(fast__3)
407
# Loading work.input_queue_per_port(fast__4)
408
# Loading work.destp_generator(fast__4)
409
# Loading work.mesh_torus_destp_generator(fast__4)
410
# Loading work.mesh_torus_mask_non_assignable_destport(fast__4)
411
# Loading work.remove_sw_loc_one_hot(fast__4)
412
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast__4)
413
# Loading work.debug_mesh_tori_route_ckeck(fast__4)
414
# Loading work.input_queue_per_port(fast__5)
415
# Loading work.destp_generator(fast__5)
416
# Loading work.mesh_torus_destp_generator(fast__5)
417
# Loading work.mesh_torus_mask_non_assignable_destport(fast__5)
418
# Loading work.remove_sw_loc_one_hot(fast__5)
419
# Loading work.mesh_torus_mask_non_assignable_destport_no_self_loop(fast__5)
420
# Loading work.debug_mesh_tori_route_ckeck(fast__5)
421
# Loading work.output_ports(fast)
422
# Loading work.pronoc_register(fast__5)
423
# Loading work.pronoc_register_reset_init(fast__4)
424
# Loading work.oport_ovc_sig_gen(fast)
425
# Loading work.one_hot_demux(fast)
426
# Loading work.credit_monitor_per_ovc(fast)
427
# Loading work.full_ovc_predictor(fast)
428
# Loading work.onehot_mux_1D(fast__4)
429
# Loading work.onehot_mux_2D(fast__4)
430
# Loading work.credit_monitor_per_ovc(fast__1)
431
# Loading work.credit_monitor_per_ovc(fast__2)
432
# Loading work.credit_monitor_per_ovc(fast__3)
433
# Loading work.credit_monitor_per_ovc(fast__4)
434
# Loading work.credit_monitor_per_ovc(fast__5)
435
# Loading work.port_pre_sel_gen(fast)
436
# Loading work.accumulator(fast)
437
# Loading work.check_ovc(fast)
438
# Loading work.vc_alloc_request_gen(fast)
439
# Loading work.vc_alloc_request_gen_determinstic(fast)
440
# Loading work.congestion_out_gen(fast)
441
# Loading work.pronoc_register(fast__6)
442
# Loading work.pronoc_register_reset_init(fast__5)
443
# Loading work.combined_vc_sw_alloc(fast)
444
# Loading work.comb_nonspec_allocator(fast)
445
# Loading work.nonspec_sw_alloc(fast)
446
# Loading work.swa_input_port_arbiter(fast)
447
# Loading work.arbiter_priority_en(fast)
448
# Loading work.onehot_mux_1D(fast__5)
449
# Loading work.onehot_mux_2D(fast__5)
450
# Loading work.swa_output_port_arbiter(fast)
451
# Loading work.arbiter(fast)
452
# Loading work.thermo_arbiter(fast)
453
# Loading work.thermo_gen(fast)
454
# Loading work.custom_or(fast)
455
# Loading work.arbiter(fast__1)
456
# Loading work.pronoc_register(fast__7)
457
# Loading work.pronoc_register_reset_init(fast__6)
458
# Loading work.crossbar(fast)
459
# Loading work.one_hot_to_bin(fast__1)
460
# Loading work.one_hot_mux(fast)
461
# Loading work.binary_mux(fast)
462
# Loading work.pronoc_register(fast__8)
463
# Loading work.pronoc_register_reset_init(fast__7)
464
# Loading work.debug_mesh_edges(fast)
465
# Loading work.dpi_interface_sv_unit(fast)
466
# Loading work.top_dpi_interface(fast)
467
# Loading work.fwft_fifo_bram(fast)
468
# Loading work.bram_based_fifo(fast)
469
# Loading work.pronoc_register(fast__9)
470
# Loading work.pronoc_register_reset_init(fast__8)
471
# Loading work.pronoc_register(fast__10)
472
# Loading work.pronoc_register_reset_init(fast__9)
473
# Loading work.endp_addr_encoder(fast)
474
# Loading work.mesh_tori_addr_encoder(fast)
475
# Loading work.packet_injector(fast)
476
# Loading work.conventional_routing(fast)
477
# Loading work.mesh_torus_conventional_routing(fast__1)
478
# Loading work.header_flit_generator(fast)
479
# Loading work.one_hot_mux(fast__1)
480
# Loading work.pronoc_register(fast__11)
481
# Loading work.pronoc_register_reset_init(fast__10)
482
# Loading work.injector_ovc_status(fast)
483
# Loading work.header_flit_info(fast__1)
484
# Loading work.endp_addr_decoder(fast)
485
# Loading work.mesh_tori_addr_coder(fast)
486
# Loading work.distance_gen(fast)
487
# Loading work.mesh_torus_distance_gen(fast)
488
# Loading work.routers_statistic_collector(fast)
489
# Loading /home/alireza/work/git/hca_git/ProNoC/mpsoc/script/synfull/dpi_interface.so
490
#
491
# add wave *
492
# view structure
493
# .main_pane.structure.interior.cs.body.struct
494
# view signals
495
# .main_pane.objects.interior.cs.body.tree
496
# run -all
497
# NoC parameters:----------------
498
#       Topology: MESH
499
#       Routing algorithm: XY
500
#       VC_per port: 1
501
#       Non-local port buffer_width per VC: 4
502
#       Local port buffer_width per VC: 4
503
#       Router num in row: 4
504
#       Router num in column: 4
505
#       Endpoint num per router: 2
506
#       Number of Class: 2
507
#       Flit data width: 64
508
#       VC reallocation mechanism: NONATOMIC
509
#       VC/sw combination mechanism: COMB_NONSPEC
510
#       AVC_ATOMIC_EN:0
511
#       Congestion Index:3
512
#       ADD_PIPREG_AFTER_CROSSBAR:1
513
#       SSA_EN enabled:NO
514
#       Switch allocator arbitration type:RRA
515
#       Minimum supported packet size:1 flit(s)
516
#       Loop back is enabled:YES
517
#       Number of multihop bypass (SMART max):0
518
#       Castying type:UNICAST.
519
# NoC parameters:----------------
520
# Simulation parameters-------------
521
#       Debuging is enabled
522
# Listening on socket

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.