OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [jtag/] [test_rtl/] [jtag_led_test/] [top.qsf] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 alirezamon
# Generated using ProNoC
2
#============================================================
3
# Build by Terasic V1.0.0
4
#============================================================
5
 
6
# Device setting for  DE10-nano VB2
7
set_global_assignment -name FAMILY "Cyclone V"
8
set_global_assignment -name DEVICE 5CSEBA6U23I7
9
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.2
10
set_global_assignment -name LAST_QUARTUS_VERSION "17.1.0 Lite Edition"
11
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:08:14  OCTOBER 14, 2016"
12
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
13
 
14
 
15
 
16
#============================================================
17
# ADC
18
#============================================================
19
 
20
#============================================================
21
# ARDUINO
22
#============================================================
23
 
24
#============================================================
25
# FPGA
26
#============================================================
27
 
28
#============================================================
29
# GPIO
30
#============================================================
31
 
32
#============================================================
33
# HDMI
34
#============================================================
35
 
36
#============================================================
37
# HPS
38
#============================================================
39
 
40
#============================================================
41
# KEY
42
#============================================================
43
 
44
#============================================================
45
# LED
46
#============================================================
47
 
48
#============================================================
49
# SW
50
#============================================================
51
 
52
#============================================================
53
# End of pin assignments by Terasic System Builder
54
#============================================================
55
 
56
 
57
 
58
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
59
set_location_assignment PIN_U9 -to ADC_CONVST
60
set_location_assignment PIN_V10 -to ADC_SCK
61
set_location_assignment PIN_AC4 -to ADC_SDI
62
set_location_assignment PIN_AD4 -to ADC_SDO
63
set_location_assignment PIN_AG13 -to ARDUINO_IO[0]
64
set_location_assignment PIN_AF13 -to ARDUINO_IO[1]
65
set_location_assignment PIN_AG10 -to ARDUINO_IO[2]
66
set_location_assignment PIN_AG9 -to ARDUINO_IO[3]
67
set_location_assignment PIN_U14 -to ARDUINO_IO[4]
68
set_location_assignment PIN_U13 -to ARDUINO_IO[5]
69
set_location_assignment PIN_AG8 -to ARDUINO_IO[6]
70
set_location_assignment PIN_AH8 -to ARDUINO_IO[7]
71
set_location_assignment PIN_AF17 -to ARDUINO_IO[8]
72
set_location_assignment PIN_AE15 -to ARDUINO_IO[9]
73
set_location_assignment PIN_AF15 -to ARDUINO_IO[10]
74
set_location_assignment PIN_AG16 -to ARDUINO_IO[11]
75
set_location_assignment PIN_AH11 -to ARDUINO_IO[12]
76
set_location_assignment PIN_AH12 -to ARDUINO_IO[13]
77
set_location_assignment PIN_AH9 -to ARDUINO_IO[14]
78
set_location_assignment PIN_AG11 -to ARDUINO_IO[15]
79
set_location_assignment PIN_AH7 -to ARDUINO_RESET_N
80
set_location_assignment PIN_V11 -to FPGA_CLK1_50
81
set_location_assignment PIN_Y13 -to FPGA_CLK2_50
82
set_location_assignment PIN_E11 -to FPGA_CLK3_50
83
set_location_assignment PIN_V12 -to GPIO_0[0]
84
set_location_assignment PIN_E8 -to GPIO_0[1]
85
set_location_assignment PIN_W12 -to GPIO_0[2]
86
set_location_assignment PIN_D11 -to GPIO_0[3]
87
set_location_assignment PIN_D8 -to GPIO_0[4]
88
set_location_assignment PIN_AH13 -to GPIO_0[5]
89
set_location_assignment PIN_AF7 -to GPIO_0[6]
90
set_location_assignment PIN_AH14 -to GPIO_0[7]
91
set_location_assignment PIN_AF4 -to GPIO_0[8]
92
set_location_assignment PIN_AH3 -to GPIO_0[9]
93
set_location_assignment PIN_AD5 -to GPIO_0[10]
94
set_location_assignment PIN_AG14 -to GPIO_0[11]
95
set_location_assignment PIN_AE23 -to GPIO_0[12]
96
set_location_assignment PIN_AE6 -to GPIO_0[13]
97
set_location_assignment PIN_AD23 -to GPIO_0[14]
98
set_location_assignment PIN_AE24 -to GPIO_0[15]
99
set_location_assignment PIN_D12 -to GPIO_0[16]
100
set_location_assignment PIN_AD20 -to GPIO_0[17]
101
set_location_assignment PIN_C12 -to GPIO_0[18]
102
set_location_assignment PIN_AD17 -to GPIO_0[19]
103
set_location_assignment PIN_AC23 -to GPIO_0[20]
104
set_location_assignment PIN_AC22 -to GPIO_0[21]
105
set_location_assignment PIN_Y19 -to GPIO_0[22]
106
set_location_assignment PIN_AB23 -to GPIO_0[23]
107
set_location_assignment PIN_AA19 -to GPIO_0[24]
108
set_location_assignment PIN_W11 -to GPIO_0[25]
109
set_location_assignment PIN_AA18 -to GPIO_0[26]
110
set_location_assignment PIN_W14 -to GPIO_0[27]
111
set_location_assignment PIN_Y18 -to GPIO_0[28]
112
set_location_assignment PIN_Y17 -to GPIO_0[29]
113
set_location_assignment PIN_AB25 -to GPIO_0[30]
114
set_location_assignment PIN_AB26 -to GPIO_0[31]
115
set_location_assignment PIN_Y11 -to GPIO_0[32]
116
set_location_assignment PIN_AA26 -to GPIO_0[33]
117
set_location_assignment PIN_AA13 -to GPIO_0[34]
118
set_location_assignment PIN_AA11 -to GPIO_0[35]
119
set_location_assignment PIN_Y15 -to GPIO_1[0]
120
set_location_assignment PIN_AC24 -to GPIO_1[1]
121
set_location_assignment PIN_AA15 -to GPIO_1[2]
122
set_location_assignment PIN_AD26 -to GPIO_1[3]
123
set_location_assignment PIN_AG28 -to GPIO_1[4]
124
set_location_assignment PIN_AF28 -to GPIO_1[5]
125
set_location_assignment PIN_AE25 -to GPIO_1[6]
126
set_location_assignment PIN_AF27 -to GPIO_1[7]
127
set_location_assignment PIN_AG26 -to GPIO_1[8]
128
set_location_assignment PIN_AH27 -to GPIO_1[9]
129
set_location_assignment PIN_AG25 -to GPIO_1[10]
130
set_location_assignment PIN_AH26 -to GPIO_1[11]
131
set_location_assignment PIN_AH24 -to GPIO_1[12]
132
set_location_assignment PIN_AF25 -to GPIO_1[13]
133
set_location_assignment PIN_AG23 -to GPIO_1[14]
134
set_location_assignment PIN_AF23 -to GPIO_1[15]
135
set_location_assignment PIN_AG24 -to GPIO_1[16]
136
set_location_assignment PIN_AH22 -to GPIO_1[17]
137
set_location_assignment PIN_AH21 -to GPIO_1[18]
138
set_location_assignment PIN_AG21 -to GPIO_1[19]
139
set_location_assignment PIN_AH23 -to GPIO_1[20]
140
set_location_assignment PIN_AA20 -to GPIO_1[21]
141
set_location_assignment PIN_AF22 -to GPIO_1[22]
142
set_location_assignment PIN_AE22 -to GPIO_1[23]
143
set_location_assignment PIN_AG20 -to GPIO_1[24]
144
set_location_assignment PIN_AF21 -to GPIO_1[25]
145
set_location_assignment PIN_AG19 -to GPIO_1[26]
146
set_location_assignment PIN_AH19 -to GPIO_1[27]
147
set_location_assignment PIN_AG18 -to GPIO_1[28]
148
set_location_assignment PIN_AH18 -to GPIO_1[29]
149
set_location_assignment PIN_AF18 -to GPIO_1[30]
150
set_location_assignment PIN_AF20 -to GPIO_1[31]
151
set_location_assignment PIN_AG15 -to GPIO_1[32]
152
set_location_assignment PIN_AE20 -to GPIO_1[33]
153
set_location_assignment PIN_AE19 -to GPIO_1[34]
154
set_location_assignment PIN_AE17 -to GPIO_1[35]
155
set_location_assignment PIN_U10 -to HDMI_I2C_SCL
156
set_location_assignment PIN_AA4 -to HDMI_I2C_SDA
157
set_location_assignment PIN_T13 -to HDMI_I2S
158
set_location_assignment PIN_T11 -to HDMI_LRCLK
159
set_location_assignment PIN_U11 -to HDMI_MCLK
160
set_location_assignment PIN_T12 -to HDMI_SCLK
161
set_location_assignment PIN_AG5 -to HDMI_TX_CLK
162
set_location_assignment PIN_AD12 -to HDMI_TX_D[0]
163
set_location_assignment PIN_AE12 -to HDMI_TX_D[1]
164
set_location_assignment PIN_W8 -to HDMI_TX_D[2]
165
set_location_assignment PIN_Y8 -to HDMI_TX_D[3]
166
set_location_assignment PIN_AD11 -to HDMI_TX_D[4]
167
set_location_assignment PIN_AD10 -to HDMI_TX_D[5]
168
set_location_assignment PIN_AE11 -to HDMI_TX_D[6]
169
set_location_assignment PIN_Y5 -to HDMI_TX_D[7]
170
set_location_assignment PIN_AF10 -to HDMI_TX_D[8]
171
set_location_assignment PIN_Y4 -to HDMI_TX_D[9]
172
set_location_assignment PIN_AE9 -to HDMI_TX_D[10]
173
set_location_assignment PIN_AB4 -to HDMI_TX_D[11]
174
set_location_assignment PIN_AE7 -to HDMI_TX_D[12]
175
set_location_assignment PIN_AF6 -to HDMI_TX_D[13]
176
set_location_assignment PIN_AF8 -to HDMI_TX_D[14]
177
set_location_assignment PIN_AF5 -to HDMI_TX_D[15]
178
set_location_assignment PIN_AE4 -to HDMI_TX_D[16]
179
set_location_assignment PIN_AH2 -to HDMI_TX_D[17]
180
set_location_assignment PIN_AH4 -to HDMI_TX_D[18]
181
set_location_assignment PIN_AH5 -to HDMI_TX_D[19]
182
set_location_assignment PIN_AH6 -to HDMI_TX_D[20]
183
set_location_assignment PIN_AG6 -to HDMI_TX_D[21]
184
set_location_assignment PIN_AF9 -to HDMI_TX_D[22]
185
set_location_assignment PIN_AE8 -to HDMI_TX_D[23]
186
set_location_assignment PIN_AD19 -to HDMI_TX_DE
187
set_location_assignment PIN_T8 -to HDMI_TX_HS
188
set_location_assignment PIN_AF11 -to HDMI_TX_INT
189
set_location_assignment PIN_V13 -to HDMI_TX_VS
190
 
191
set_location_assignment PIN_AH17 -to KEY[0]
192
set_location_assignment PIN_AH16 -to KEY[1]
193
set_location_assignment PIN_W15 -to LED[0]
194
set_location_assignment PIN_AA24 -to LED[1]
195
set_location_assignment PIN_V16 -to LED[2]
196
set_location_assignment PIN_V15 -to LED[3]
197
set_location_assignment PIN_AF26 -to LED[4]
198
set_location_assignment PIN_AE26 -to LED[5]
199
set_location_assignment PIN_Y16 -to LED[6]
200
set_location_assignment PIN_AA23 -to LED[7]
201
set_location_assignment PIN_Y24 -to SW[0]
202
set_location_assignment PIN_W24 -to SW[1]
203
set_location_assignment PIN_W21 -to SW[2]
204
set_location_assignment PIN_W20 -to SW[3]
205
 
206
 
207
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
208
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
209
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
210
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
211
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
212
 
213
 
214
set_global_assignment -name SDC_FILE DE10_Nano_golden_top.sdc
215
 
216
 
217
 
218
set_global_assignment -name TOP_LEVEL_ENTITY top
219
 set_global_assignment -name VERILOG_FILE ./src/top.v
220
 set_global_assignment -name VERILOG_FILE ./src/vjtag.v
221
 
222
 
223
set_global_assignment -name VERILOG_FILE top.v
224
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
225
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
226
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
227
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST
228
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK
229
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI
230
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO
231
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0]
232
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1]
233
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2]
234
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3]
235
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4]
236
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5]
237
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6]
238
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7]
239
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8]
240
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9]
241
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10]
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11]
243
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12]
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13]
245
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14]
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15]
247
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50
249
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK2_50
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK3_50
251
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
253
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
255
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
257
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
281
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
289
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
291
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
292
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
293
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
295
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
297
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
298
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
299
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
301
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
303
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
305
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
307
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
309
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
310
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
311
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
313
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
315
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
317
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
318
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
319
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
320
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
321
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
322
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
323
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SCL
324
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SDA
325
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2S
326
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_LRCLK
327
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_MCLK
328
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_SCLK
329
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_CLK
330
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[0]
331
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[1]
332
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[2]
333
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[3]
334
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[4]
335
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[5]
336
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[6]
337
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[7]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[8]
339
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[9]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[10]
341
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[11]
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[12]
343
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[13]
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[14]
345
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[15]
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[16]
347
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[17]
348
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[18]
349
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[19]
350
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[20]
351
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[21]
352
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[22]
353
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[23]
354
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_DE
355
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_HS
356
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_INT
357
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_VS
358
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_CONV_USB_N
359
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[0]
360
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[1]
361
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[2]
362
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[3]
363
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[4]
364
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[5]
365
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[6]
366
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[7]
367
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[8]
368
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[9]
369
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[10]
370
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[11]
371
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[12]
372
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[13]
373
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[14]
374
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[0]
375
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[1]
376
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[2]
377
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CAS_N
378
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CKE
379
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_N
380
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_P
381
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CS_N
382
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[0]
383
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[1]
384
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[2]
385
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[3]
386
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[0]
387
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[1]
388
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[2]
389
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[3]
390
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[4]
391
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[5]
392
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[6]
393
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[7]
394
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[8]
395
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[9]
396
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[10]
397
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[11]
398
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[12]
399
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[13]
400
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[14]
401
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[15]
402
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[16]
403
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[17]
404
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[18]
405
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[19]
406
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[20]
407
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[21]
408
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[22]
409
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[23]
410
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[24]
411
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[25]
412
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[26]
413
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[27]
414
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[28]
415
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[29]
416
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[30]
417
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[31]
418
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[0]
419
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[1]
420
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[2]
421
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[3]
422
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[0]
423
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[1]
424
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[2]
425
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[3]
426
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ODT
427
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RAS_N
428
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RESET_N
429
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RZQ
430
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_WE_N
431
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_GTX_CLK
432
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_INT_N
433
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDC
434
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDIO
435
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_CLK
436
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[0]
437
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[1]
438
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[2]
439
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[3]
440
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DV
441
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[0]
442
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[1]
443
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[2]
444
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[3]
445
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_EN
446
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_GSENSOR_INT
447
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SCLK
448
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SDAT
449
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SCLK
450
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SDAT
451
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_KEY
452
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LED
453
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LTC_GPIO
454
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CLK
455
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CMD
456
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[0]
457
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[1]
458
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[2]
459
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[3]
460
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_CLK
461
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MISO
462
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MOSI
463
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_SS
464
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_RX
465
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_TX
466
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_CLKOUT
467
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[0]
468
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[1]
469
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[2]
470
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[3]
471
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[4]
472
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[5]
473
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[6]
474
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[7]
475
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DIR
476
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_NXT
477
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_STP
478
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
479
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
480
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
481
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
482
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
483
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
484
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
485
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
486
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
487
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
488
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
489
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
490
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
491
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
492
 
493
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.