OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [jtag/] [test_rtl/] [jtag_ram_test/] [ram_test.qsf] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 alirezamon
# Generated using ProNoC
2
#============================================================
3
# Build by Terasic V1.0.0
4
#============================================================
5
 
6
 
7
set_global_assignment -name FAMILY "Cyclone V"
8
set_global_assignment -name DEVICE 5CSEBA6U23I7
9
set_global_assignment -name TOP_LEVEL_ENTITY "DE10_Nano_golden_top"
10
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.2
11
set_global_assignment -name LAST_QUARTUS_VERSION "17.1.0 Lite Edition"
12
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:08:14  OCTOBER 14, 2016"
13
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
14
 
15
 
16
 
17
#============================================================
18
# ADC
19
#============================================================
20
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST
21
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK
22
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI
23
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO
24
 
25
#============================================================
26
# ARDUINO
27
#============================================================
28
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0]
29
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1]
30
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2]
31
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3]
32
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4]
33
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5]
34
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6]
35
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7]
36
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8]
37
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9]
38
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10]
39
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11]
40
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12]
41
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13]
42
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14]
43
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15]
44
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N
45
 
46
#============================================================
47
# FPGA
48
#============================================================
49
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50
50
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK2_50
51
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK3_50
52
 
53
#============================================================
54
# GPIO
55
#============================================================
56
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
57
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
59
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
61
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
63
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
65
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
67
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
69
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
79
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
81
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
82
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
83
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
84
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
85
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
86
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
87
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32]
89
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33]
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34]
91
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35]
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
93
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
95
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
97
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
99
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
101
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
103
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
105
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
107
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
109
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
111
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
113
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
114
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
115
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
116
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
117
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
119
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
121
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
123
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32]
125
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33]
126
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34]
127
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35]
128
 
129
#============================================================
130
# HDMI
131
#============================================================
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SCL
133
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SDA
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2S
135
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_LRCLK
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_MCLK
137
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_SCLK
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_CLK
139
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[0]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[1]
141
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[2]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[3]
143
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[4]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[5]
145
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[6]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[7]
147
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[8]
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[9]
149
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[10]
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[11]
151
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[12]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[13]
153
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[14]
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[15]
155
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[16]
156
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[17]
157
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[18]
158
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[19]
159
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[20]
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[21]
161
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[22]
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[23]
163
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_DE
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_HS
165
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_INT
166
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_VS
167
 
168
#============================================================
169
# HPS
170
#============================================================
171
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_CONV_USB_N
172
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[0]
173
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[1]
174
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[2]
175
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[3]
176
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[4]
177
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[5]
178
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[6]
179
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[7]
180
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[8]
181
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[9]
182
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[10]
183
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[11]
184
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[12]
185
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[13]
186
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[14]
187
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[0]
188
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[1]
189
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[2]
190
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CAS_N
191
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CKE
192
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_N
193
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_P
194
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CS_N
195
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[0]
196
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[1]
197
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[2]
198
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[3]
199
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[0]
200
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[1]
201
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[2]
202
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[3]
203
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[4]
204
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[5]
205
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[6]
206
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[7]
207
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[8]
208
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[9]
209
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[10]
210
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[11]
211
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[12]
212
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[13]
213
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[14]
214
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[15]
215
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[16]
216
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[17]
217
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[18]
218
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[19]
219
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[20]
220
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[21]
221
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[22]
222
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[23]
223
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[24]
224
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[25]
225
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[26]
226
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[27]
227
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[28]
228
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[29]
229
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[30]
230
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[31]
231
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[0]
232
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[1]
233
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[2]
234
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[3]
235
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[0]
236
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[1]
237
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[2]
238
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[3]
239
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ODT
240
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RAS_N
241
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RESET_N
242
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RZQ
243
set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_WE_N
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_GTX_CLK
245
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_INT_N
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDC
247
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDIO
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_CLK
249
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[0]
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[1]
251
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[2]
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[3]
253
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DV
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[0]
255
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[1]
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[2]
257
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[3]
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_EN
259
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_GSENSOR_INT
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SCLK
261
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SDAT
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SCLK
263
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SDAT
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_KEY
265
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LED
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LTC_GPIO
267
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CLK
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CMD
269
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[0]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[1]
271
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[2]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[3]
273
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_CLK
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MISO
275
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MOSI
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_SS
277
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_RX
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_TX
279
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_CLKOUT
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[0]
281
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[1]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[2]
283
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[3]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[4]
285
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[5]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[6]
287
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[7]
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DIR
289
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_NXT
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_STP
291
 
292
#============================================================
293
# KEY
294
#============================================================
295
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
297
 
298
#============================================================
299
# LED
300
#============================================================
301
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
303
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
305
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
307
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
309
 
310
#============================================================
311
# SW
312
#============================================================
313
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
315
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
317
 
318
#============================================================
319
# End of pin assignments by Terasic System Builder
320
#============================================================
321
 
322
 
323
 
324
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
325
set_location_assignment PIN_U9 -to ADC_CONVST
326
set_location_assignment PIN_V10 -to ADC_SCK
327
set_location_assignment PIN_AC4 -to ADC_SDI
328
set_location_assignment PIN_AD4 -to ADC_SDO
329
set_location_assignment PIN_AG13 -to ARDUINO_IO[0]
330
set_location_assignment PIN_AF13 -to ARDUINO_IO[1]
331
set_location_assignment PIN_AG10 -to ARDUINO_IO[2]
332
set_location_assignment PIN_AG9 -to ARDUINO_IO[3]
333
set_location_assignment PIN_U14 -to ARDUINO_IO[4]
334
set_location_assignment PIN_U13 -to ARDUINO_IO[5]
335
set_location_assignment PIN_AG8 -to ARDUINO_IO[6]
336
set_location_assignment PIN_AH8 -to ARDUINO_IO[7]
337
set_location_assignment PIN_AF17 -to ARDUINO_IO[8]
338
set_location_assignment PIN_AE15 -to ARDUINO_IO[9]
339
set_location_assignment PIN_AF15 -to ARDUINO_IO[10]
340
set_location_assignment PIN_AG16 -to ARDUINO_IO[11]
341
set_location_assignment PIN_AH11 -to ARDUINO_IO[12]
342
set_location_assignment PIN_AH12 -to ARDUINO_IO[13]
343
set_location_assignment PIN_AH9 -to ARDUINO_IO[14]
344
set_location_assignment PIN_AG11 -to ARDUINO_IO[15]
345
set_location_assignment PIN_AH7 -to ARDUINO_RESET_N
346
set_location_assignment PIN_V11 -to FPGA_CLK1_50
347
set_location_assignment PIN_Y13 -to FPGA_CLK2_50
348
set_location_assignment PIN_E11 -to FPGA_CLK3_50
349
set_location_assignment PIN_V12 -to GPIO_0[0]
350
set_location_assignment PIN_E8 -to GPIO_0[1]
351
set_location_assignment PIN_W12 -to GPIO_0[2]
352
set_location_assignment PIN_D11 -to GPIO_0[3]
353
set_location_assignment PIN_D8 -to GPIO_0[4]
354
set_location_assignment PIN_AH13 -to GPIO_0[5]
355
set_location_assignment PIN_AF7 -to GPIO_0[6]
356
set_location_assignment PIN_AH14 -to GPIO_0[7]
357
set_location_assignment PIN_AF4 -to GPIO_0[8]
358
set_location_assignment PIN_AH3 -to GPIO_0[9]
359
set_location_assignment PIN_AD5 -to GPIO_0[10]
360
set_location_assignment PIN_AG14 -to GPIO_0[11]
361
set_location_assignment PIN_AE23 -to GPIO_0[12]
362
set_location_assignment PIN_AE6 -to GPIO_0[13]
363
set_location_assignment PIN_AD23 -to GPIO_0[14]
364
set_location_assignment PIN_AE24 -to GPIO_0[15]
365
set_location_assignment PIN_D12 -to GPIO_0[16]
366
set_location_assignment PIN_AD20 -to GPIO_0[17]
367
set_location_assignment PIN_C12 -to GPIO_0[18]
368
set_location_assignment PIN_AD17 -to GPIO_0[19]
369
set_location_assignment PIN_AC23 -to GPIO_0[20]
370
set_location_assignment PIN_AC22 -to GPIO_0[21]
371
set_location_assignment PIN_Y19 -to GPIO_0[22]
372
set_location_assignment PIN_AB23 -to GPIO_0[23]
373
set_location_assignment PIN_AA19 -to GPIO_0[24]
374
set_location_assignment PIN_W11 -to GPIO_0[25]
375
set_location_assignment PIN_AA18 -to GPIO_0[26]
376
set_location_assignment PIN_W14 -to GPIO_0[27]
377
set_location_assignment PIN_Y18 -to GPIO_0[28]
378
set_location_assignment PIN_Y17 -to GPIO_0[29]
379
set_location_assignment PIN_AB25 -to GPIO_0[30]
380
set_location_assignment PIN_AB26 -to GPIO_0[31]
381
set_location_assignment PIN_Y11 -to GPIO_0[32]
382
set_location_assignment PIN_AA26 -to GPIO_0[33]
383
set_location_assignment PIN_AA13 -to GPIO_0[34]
384
set_location_assignment PIN_AA11 -to GPIO_0[35]
385
set_location_assignment PIN_Y15 -to GPIO_1[0]
386
set_location_assignment PIN_AC24 -to GPIO_1[1]
387
set_location_assignment PIN_AA15 -to GPIO_1[2]
388
set_location_assignment PIN_AD26 -to GPIO_1[3]
389
set_location_assignment PIN_AG28 -to GPIO_1[4]
390
set_location_assignment PIN_AF28 -to GPIO_1[5]
391
set_location_assignment PIN_AE25 -to GPIO_1[6]
392
set_location_assignment PIN_AF27 -to GPIO_1[7]
393
set_location_assignment PIN_AG26 -to GPIO_1[8]
394
set_location_assignment PIN_AH27 -to GPIO_1[9]
395
set_location_assignment PIN_AG25 -to GPIO_1[10]
396
set_location_assignment PIN_AH26 -to GPIO_1[11]
397
set_location_assignment PIN_AH24 -to GPIO_1[12]
398
set_location_assignment PIN_AF25 -to GPIO_1[13]
399
set_location_assignment PIN_AG23 -to GPIO_1[14]
400
set_location_assignment PIN_AF23 -to GPIO_1[15]
401
set_location_assignment PIN_AG24 -to GPIO_1[16]
402
set_location_assignment PIN_AH22 -to GPIO_1[17]
403
set_location_assignment PIN_AH21 -to GPIO_1[18]
404
set_location_assignment PIN_AG21 -to GPIO_1[19]
405
set_location_assignment PIN_AH23 -to GPIO_1[20]
406
set_location_assignment PIN_AA20 -to GPIO_1[21]
407
set_location_assignment PIN_AF22 -to GPIO_1[22]
408
set_location_assignment PIN_AE22 -to GPIO_1[23]
409
set_location_assignment PIN_AG20 -to GPIO_1[24]
410
set_location_assignment PIN_AF21 -to GPIO_1[25]
411
set_location_assignment PIN_AG19 -to GPIO_1[26]
412
set_location_assignment PIN_AH19 -to GPIO_1[27]
413
set_location_assignment PIN_AG18 -to GPIO_1[28]
414
set_location_assignment PIN_AH18 -to GPIO_1[29]
415
set_location_assignment PIN_AF18 -to GPIO_1[30]
416
set_location_assignment PIN_AF20 -to GPIO_1[31]
417
set_location_assignment PIN_AG15 -to GPIO_1[32]
418
set_location_assignment PIN_AE20 -to GPIO_1[33]
419
set_location_assignment PIN_AE19 -to GPIO_1[34]
420
set_location_assignment PIN_AE17 -to GPIO_1[35]
421
set_location_assignment PIN_U10 -to HDMI_I2C_SCL
422
set_location_assignment PIN_AA4 -to HDMI_I2C_SDA
423
set_location_assignment PIN_T13 -to HDMI_I2S
424
set_location_assignment PIN_T11 -to HDMI_LRCLK
425
set_location_assignment PIN_U11 -to HDMI_MCLK
426
set_location_assignment PIN_T12 -to HDMI_SCLK
427
set_location_assignment PIN_AG5 -to HDMI_TX_CLK
428
set_location_assignment PIN_AD12 -to HDMI_TX_D[0]
429
set_location_assignment PIN_AE12 -to HDMI_TX_D[1]
430
set_location_assignment PIN_W8 -to HDMI_TX_D[2]
431
set_location_assignment PIN_Y8 -to HDMI_TX_D[3]
432
set_location_assignment PIN_AD11 -to HDMI_TX_D[4]
433
set_location_assignment PIN_AD10 -to HDMI_TX_D[5]
434
set_location_assignment PIN_AE11 -to HDMI_TX_D[6]
435
set_location_assignment PIN_Y5 -to HDMI_TX_D[7]
436
set_location_assignment PIN_AF10 -to HDMI_TX_D[8]
437
set_location_assignment PIN_Y4 -to HDMI_TX_D[9]
438
set_location_assignment PIN_AE9 -to HDMI_TX_D[10]
439
set_location_assignment PIN_AB4 -to HDMI_TX_D[11]
440
set_location_assignment PIN_AE7 -to HDMI_TX_D[12]
441
set_location_assignment PIN_AF6 -to HDMI_TX_D[13]
442
set_location_assignment PIN_AF8 -to HDMI_TX_D[14]
443
set_location_assignment PIN_AF5 -to HDMI_TX_D[15]
444
set_location_assignment PIN_AE4 -to HDMI_TX_D[16]
445
set_location_assignment PIN_AH2 -to HDMI_TX_D[17]
446
set_location_assignment PIN_AH4 -to HDMI_TX_D[18]
447
set_location_assignment PIN_AH5 -to HDMI_TX_D[19]
448
set_location_assignment PIN_AH6 -to HDMI_TX_D[20]
449
set_location_assignment PIN_AG6 -to HDMI_TX_D[21]
450
set_location_assignment PIN_AF9 -to HDMI_TX_D[22]
451
set_location_assignment PIN_AE8 -to HDMI_TX_D[23]
452
set_location_assignment PIN_AD19 -to HDMI_TX_DE
453
set_location_assignment PIN_T8 -to HDMI_TX_HS
454
set_location_assignment PIN_AF11 -to HDMI_TX_INT
455
set_location_assignment PIN_V13 -to HDMI_TX_VS
456
 
457
set_location_assignment PIN_AH17 -to KEY[0]
458
set_location_assignment PIN_AH16 -to KEY[1]
459
set_location_assignment PIN_W15 -to LED[0]
460
set_location_assignment PIN_AA24 -to LED[1]
461
set_location_assignment PIN_V16 -to LED[2]
462
set_location_assignment PIN_V15 -to LED[3]
463
set_location_assignment PIN_AF26 -to LED[4]
464
set_location_assignment PIN_AE26 -to LED[5]
465
set_location_assignment PIN_Y16 -to LED[6]
466
set_location_assignment PIN_AA23 -to LED[7]
467
set_location_assignment PIN_Y24 -to SW[0]
468
set_location_assignment PIN_W24 -to SW[1]
469
set_location_assignment PIN_W21 -to SW[2]
470
set_location_assignment PIN_W20 -to SW[3]
471
 
472
 
473
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
474
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
475
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
476
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
477
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
478
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
479
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
480
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
481
 
482
 
483
set_global_assignment -name SDC_FILE DE10_Nano_golden_top.sdc
484
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
485
 
486
 
487
 
488
 set_global_assignment -name TOP_LEVEL_ENTITY Top
489
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/ram_test.v
490
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/ram_test_top.v
491
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/Top.v
492
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/wb_bram_ctrl.v
493
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/main_comp.v
494
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/wb_single_port_ram.v
495
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/clk_source.v
496
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/generic_ram.v
497
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/altera_reset_synchronizer.v
498
 set_global_assignment -name SYSTEMVERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/byte_enabled_generic_ram.sv
499
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/wishbone_bus.v
500
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/arbiter.v
501
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/jtag_wb/jtag_system_en.v
502
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/jtag_wb/jtag_source_probe.v
503
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/jtag_wb/vjtag.v
504
 set_global_assignment -name VERILOG_FILE /home/alireza/mywork/mpsoc_work/SOC/ram_test/src_verilog/lib/jtag_wb/vjtag_wb.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.