OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [jtag/] [test_rtl/] [jtag_ram_test/] [src_verilog/] [lib/] [clk_source.v] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 alirezamon
`timescale 1ns / 1ps
2
 
3
module clk_source (
4
        input   reset_in,
5
        input   clk_in,
6
        output  reset_out,
7
        output  clk_out
8
 
9
);
10
 
11
 
12
        altera_reset_synchronizer sync(
13
 
14
                .reset_in       (reset_in),
15
                .clk            (clk_in),
16
                .reset_out      (reset_out)
17
        );
18
 
19
        assign clk_out=clk_in;
20
 
21
 
22
endmodule
23
 
24
 
25
 
26
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.