OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [jtag/] [test_rtl/] [jtag_ram_test/] [src_verilog/] [lib/] [jtag_wb/] [vjtag.v] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 alirezamon
// megafunction wizard: %Virtual JTAG%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: sld_virtual_jtag 
5
 
6
// ============================================================
7
// File Name: vjtag.v
8
// Megafunction Name(s):
9
//                      sld_virtual_jtag
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 13.0.0 Build 156 04/24/2013 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2013 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module vjtag #(
40
        parameter  VJTAG_INDEX=126
41
 
42
)(
43
        ir_out,
44
        tdo,
45
        ir_in,
46
        tck,
47
        tdi,
48
        virtual_state_cdr,
49
        virtual_state_cir,
50
        virtual_state_e1dr,
51
        virtual_state_e2dr,
52
        virtual_state_pdr,
53
        virtual_state_sdr,
54
        virtual_state_udr,
55
        virtual_state_uir);
56
 
57
        input   [2:0]  ir_out;
58
        input     tdo;
59
        output  [2:0]  ir_in;
60
        output    tck;
61
        output    tdi;
62
        output    virtual_state_cdr;
63
        output    virtual_state_cir;
64
        output    virtual_state_e1dr;
65
        output    virtual_state_e2dr;
66
        output    virtual_state_pdr;
67
        output    virtual_state_sdr;
68
        output    virtual_state_udr;
69
        output    virtual_state_uir;
70
 
71
        wire  sub_wire0;
72
        wire  sub_wire1;
73
        wire [2:0] sub_wire2;
74
        wire  sub_wire3;
75
        wire  sub_wire4;
76
        wire  sub_wire5;
77
        wire  sub_wire6;
78
        wire  sub_wire7;
79
        wire  sub_wire8;
80
        wire  sub_wire9;
81
        wire  sub_wire10;
82
        wire  virtual_state_cir = sub_wire0;
83
        wire  virtual_state_pdr = sub_wire1;
84
        wire [2:0] ir_in = sub_wire2[2:0];
85
        wire  tdi = sub_wire3;
86
        wire  virtual_state_udr = sub_wire4;
87
        wire  tck = sub_wire5;
88
        wire  virtual_state_e1dr = sub_wire6;
89
        wire  virtual_state_uir = sub_wire7;
90
        wire  virtual_state_cdr = sub_wire8;
91
        wire  virtual_state_e2dr = sub_wire9;
92
        wire  virtual_state_sdr = sub_wire10;
93
 
94
        sld_virtual_jtag        sld_virtual_jtag_component (
95
                                .ir_out (ir_out),
96
                                .tdo (tdo),
97
                                .virtual_state_cir (sub_wire0),
98
                                .virtual_state_pdr (sub_wire1),
99
                                .ir_in (sub_wire2),
100
                                .tdi (sub_wire3),
101
                                .virtual_state_udr (sub_wire4),
102
                                .tck (sub_wire5),
103
                                .virtual_state_e1dr (sub_wire6),
104
                                .virtual_state_uir (sub_wire7),
105
                                .virtual_state_cdr (sub_wire8),
106
                                .virtual_state_e2dr (sub_wire9),
107
                                .virtual_state_sdr (sub_wire10)
108
                                // synopsys translate_off
109
                                ,
110
                                .jtag_state_cdr (),
111
                                .jtag_state_cir (),
112
                                .jtag_state_e1dr (),
113
                                .jtag_state_e1ir (),
114
                                .jtag_state_e2dr (),
115
                                .jtag_state_e2ir (),
116
                                .jtag_state_pdr (),
117
                                .jtag_state_pir (),
118
                                .jtag_state_rti (),
119
                                .jtag_state_sdr (),
120
                                .jtag_state_sdrs (),
121
                                .jtag_state_sir (),
122
                                .jtag_state_sirs (),
123
                                .jtag_state_tlr (),
124
                                .jtag_state_udr (),
125
                                .jtag_state_uir (),
126
                                .tms ()
127
                                // synopsys translate_on
128
                                );
129
        defparam
130
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
131
                sld_virtual_jtag_component.sld_instance_index = VJTAG_INDEX,
132
                sld_virtual_jtag_component.sld_ir_width = 3,
133
                sld_virtual_jtag_component.sld_sim_action = "((0,1,7,3),(0,2,ff,20),(0,1,6,3),(0,2,ffffffff,20),(0,2,1,20),(0,2,2,20),(0,2,3,20),(0,2,4,20))",
134
                sld_virtual_jtag_component.sld_sim_n_scan = 8,
135
                sld_virtual_jtag_component.sld_sim_total_length = 198;
136
 
137
 
138
endmodule
139
 
140
// ============================================================
141
// CNX file retrieval info
142
// ============================================================
143
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
144
// Retrieval info: PRIVATE: show_jtag_state STRING "0"
145
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
146
// Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "NO"
147
// Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "126"
148
// Retrieval info: CONSTANT: SLD_IR_WIDTH NUMERIC "3"
149
// Retrieval info: CONSTANT: SLD_SIM_ACTION STRING "((0,1,7,3),(0,2,ff,20),(0,1,6,3),(0,2,ffffffff,20),(0,2,1,20),(0,2,2,20),(0,2,3,20),(0,2,4,20))"
150
// Retrieval info: CONSTANT: SLD_SIM_N_SCAN NUMERIC "8"
151
// Retrieval info: CONSTANT: SLD_SIM_TOTAL_LENGTH NUMERIC "198"
152
// Retrieval info: USED_PORT: ir_in 0 0 3 0 OUTPUT NODEFVAL "ir_in[2..0]"
153
// Retrieval info: USED_PORT: ir_out 0 0 3 0 INPUT NODEFVAL "ir_out[2..0]"
154
// Retrieval info: USED_PORT: tck 0 0 0 0 OUTPUT NODEFVAL "tck"
155
// Retrieval info: USED_PORT: tdi 0 0 0 0 OUTPUT NODEFVAL "tdi"
156
// Retrieval info: USED_PORT: tdo 0 0 0 0 INPUT NODEFVAL "tdo"
157
// Retrieval info: USED_PORT: virtual_state_cdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cdr"
158
// Retrieval info: USED_PORT: virtual_state_cir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_cir"
159
// Retrieval info: USED_PORT: virtual_state_e1dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e1dr"
160
// Retrieval info: USED_PORT: virtual_state_e2dr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_e2dr"
161
// Retrieval info: USED_PORT: virtual_state_pdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_pdr"
162
// Retrieval info: USED_PORT: virtual_state_sdr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_sdr"
163
// Retrieval info: USED_PORT: virtual_state_udr 0 0 0 0 OUTPUT NODEFVAL "virtual_state_udr"
164
// Retrieval info: USED_PORT: virtual_state_uir 0 0 0 0 OUTPUT NODEFVAL "virtual_state_uir"
165
// Retrieval info: CONNECT: @ir_out 0 0 3 0 ir_out 0 0 3 0
166
// Retrieval info: CONNECT: @tdo 0 0 0 0 tdo 0 0 0 0
167
// Retrieval info: CONNECT: ir_in 0 0 3 0 @ir_in 0 0 3 0
168
// Retrieval info: CONNECT: tck 0 0 0 0 @tck 0 0 0 0
169
// Retrieval info: CONNECT: tdi 0 0 0 0 @tdi 0 0 0 0
170
// Retrieval info: CONNECT: virtual_state_cdr 0 0 0 0 @virtual_state_cdr 0 0 0 0
171
// Retrieval info: CONNECT: virtual_state_cir 0 0 0 0 @virtual_state_cir 0 0 0 0
172
// Retrieval info: CONNECT: virtual_state_e1dr 0 0 0 0 @virtual_state_e1dr 0 0 0 0
173
// Retrieval info: CONNECT: virtual_state_e2dr 0 0 0 0 @virtual_state_e2dr 0 0 0 0
174
// Retrieval info: CONNECT: virtual_state_pdr 0 0 0 0 @virtual_state_pdr 0 0 0 0
175
// Retrieval info: CONNECT: virtual_state_sdr 0 0 0 0 @virtual_state_sdr 0 0 0 0
176
// Retrieval info: CONNECT: virtual_state_udr 0 0 0 0 @virtual_state_udr 0 0 0 0
177
// Retrieval info: CONNECT: virtual_state_uir 0 0 0 0 @virtual_state_uir 0 0 0 0
178
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.v TRUE
179
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.inc FALSE
180
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.cmp FALSE
181
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag.bsf FALSE
182
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag_inst.v TRUE
183
// Retrieval info: GEN_FILE: TYPE_NORMAL vjtag_bb.v TRUE
184
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.