OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [synfull/] [traffic-generator/] [src/] [synful.h] - Blame information for rev 54

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
#ifndef _SYNFUL_H
2
        #define  _SYNFUL_H
3
 
4
        #define SYNFUL_ENDP_NUM 32
5
 
6
 
7
typedef struct pronoc_pck pronoc_pck_t;
8
 
9
struct pronoc_pck {
10
        int source;
11
        int dest;
12
        int id;
13
        int packetSize;
14
        int msgType;
15
        unsigned long long cycle;
16
};
17
 
18
 
19
 
20
 
21
extern queue_t** synful_inject;
22
 
23
extern unsigned long long synful_cycle;
24
extern int synful_injection_done;
25
 
26
 
27
 
28
void synful_eval ();
29
void synful_model_init(char *, bool , int,unsigned int,unsigned int, int *);
30
void synful_run_one_cycle ();
31
 
32
void synful_print_packet( pronoc_pck_t*) ;
33
void synful_Eject (pronoc_pck_t*);
34
 
35
 
36
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.