OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_processor/] [mor1kx-3.1/] [rtl/] [verilog/] [mor1kx_pic.v] - Blame information for rev 38

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 alirezamon
/* ****************************************************************************
2
  This Source Code Form is subject to the terms of the
3
  Open Hardware Description License, v. 1.0. If a copy
4
  of the OHDL was not distributed with this file, You
5
  can obtain one at http://juliusbaxter.net/ohdl/ohdl.txt
6
 
7
  Description: mor1kx PIC
8
 
9
  Copyright (C) 2012 Authors
10
 
11
  Author(s): Julius Baxter <juliusbaxter@gmail.com>
12
 
13
***************************************************************************** */
14
 
15
`include "mor1kx-defines.v"
16
 
17
module mor1kx_pic
18
  (/*AUTOARG*/
19
   // Outputs
20
   spr_picmr_o, spr_picsr_o, spr_bus_ack, spr_dat_o,
21
   // Inputs
22
   clk, rst, irq_i, spr_access_i, spr_we_i, spr_addr_i, spr_dat_i
23
   );
24
 
25
   parameter OPTION_PIC_TRIGGER="LEVEL";
26
   parameter OPTION_PIC_NMI_WIDTH = 0;
27
 
28
   input clk;
29
   input rst;
30
 
31
   input [31:0] irq_i;
32
 
33
   output [31:0] spr_picmr_o;
34
   output [31:0] spr_picsr_o;
35
 
36
   // SPR Bus interface
37
   input         spr_access_i;
38
   input         spr_we_i;
39
   input [15:0]  spr_addr_i;
40
   input [31:0]  spr_dat_i;
41
   output        spr_bus_ack;
42
   output [31:0] spr_dat_o;
43
 
44
   // Registers
45
   reg [31:0]    spr_picmr;
46
   reg [31:0]    spr_picsr;
47
 
48
   wire spr_picmr_access;
49
   wire spr_picsr_access;
50
 
51
   wire [31:0]   irq_unmasked;
52
 
53
   assign spr_picmr_o = spr_picmr;
54
   assign spr_picsr_o = spr_picsr;
55
 
56
   assign spr_picmr_access =
57
     spr_access_i &
58
     (`SPR_OFFSET(spr_addr_i) == `SPR_OFFSET(`OR1K_SPR_PICMR_ADDR));
59
   assign spr_picsr_access =
60
     spr_access_i &
61
     (`SPR_OFFSET(spr_addr_i) == `SPR_OFFSET(`OR1K_SPR_PICSR_ADDR));
62
 
63
   assign spr_bus_ack = spr_access_i;
64
   assign spr_dat_o =  (spr_access_i & spr_picsr_access) ? spr_picsr :
65
                       (spr_access_i & spr_picmr_access) ? spr_picmr :
66
                       0;
67
 
68
   assign irq_unmasked = spr_picmr & irq_i;
69
 
70
   generate
71
 
72
      genvar     irqline;
73
 
74
      if (OPTION_PIC_TRIGGER=="EDGE") begin : edge_triggered
75
         for(irqline=0;irqline<32;irqline=irqline+1)
76
           begin: picgenerate
77
              // PIC status register
78
              always @(posedge clk `OR_ASYNC_RST)
79
                if (rst)
80
                  spr_picsr[irqline] <= 0;
81
              // Clear
82
                else if (spr_we_i & spr_picsr_access)
83
                  spr_picsr[irqline] <= spr_dat_i[irqline] ? 0 :
84
                                               spr_picsr[irqline];
85
              // Set
86
                else if (!spr_picsr[irqline] & irq_unmasked[irqline])
87
                  spr_picsr[irqline] <= 1;
88
           end // block: picgenerate
89
      end // if (OPTION_PIC_TRIGGER=="EDGE")
90
 
91
      else if (OPTION_PIC_TRIGGER=="LEVEL") begin : level_triggered
92
         for(irqline=0;irqline<32;irqline=irqline+1)
93
           begin: picsrlevelgenerate
94
              // PIC status register
95
              always @(*)
96
                spr_picsr[irqline] <= irq_unmasked[irqline];
97
           end
98
      end // if (OPTION_PIC_TRIGGER=="LEVEL")
99
 
100
      else if (OPTION_PIC_TRIGGER=="LATCHED_LEVEL") begin : latched_level
101
         for(irqline=0;irqline<32;irqline=irqline+1)
102
           begin: piclatchedlevelgenerate
103
              // PIC status register
104
              always @(posedge clk `OR_ASYNC_RST)
105
                if (rst)
106
                  spr_picsr[irqline] <= 0;
107
                else if (spr_we_i && spr_picsr_access)
108
                  spr_picsr[irqline] <= irq_unmasked[irqline] |
109
                                               spr_dat_i[irqline];
110
                else
111
                  spr_picsr[irqline] <= spr_picsr[irqline] |
112
                                        irq_unmasked[irqline];
113
           end // block: picgenerate
114
      end // if (OPTION_PIC_TRIGGER=="EDGE")
115
 
116
      else begin : invalid
117
         initial begin
118
            $display("Error - invalid PIC level detection option %s",
119
                     OPTION_PIC_TRIGGER);
120
            $finish;
121
         end
122
      end // else: !if(OPTION_PIC_TRIGGER=="LEVEL")
123
   endgenerate
124
 
125
   // PIC (un)mask register
126
   always @(posedge clk `OR_ASYNC_RST)
127
     if (rst)
128
       spr_picmr <= {{(32-OPTION_PIC_NMI_WIDTH){1'b0}},
129
                     {OPTION_PIC_NMI_WIDTH{1'b1}}};
130
     else if (spr_we_i && spr_picmr_access)
131
       spr_picmr <= {spr_dat_i[31:OPTION_PIC_NMI_WIDTH],
132
                     {OPTION_PIC_NMI_WIDTH{1'b1}}};
133
 
134
endmodule // mor1kx_pic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.