OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [ao486_hw.tcl] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 alfik
# TCL File Generated by Component Editor 14.0
2
# Mon Aug 18 22:50:23 CEST 2014
3 2 alfik
# DO NOT MODIFY
4
 
5
 
6
# 
7
# ao486 "ao486" v1.0
8 7 alfik
#  2014.08.18.22:50:23
9 2 alfik
# 
10
# 
11
 
12
# 
13 7 alfik
# request TCL package from ACDS 14.0
14 2 alfik
# 
15 7 alfik
package require -exact qsys 14.0
16 2 alfik
 
17
 
18
# 
19
# module ao486
20
# 
21
set_module_property DESCRIPTION ""
22
set_module_property NAME ao486
23
set_module_property VERSION 1.0
24
set_module_property INTERNAL false
25
set_module_property OPAQUE_ADDRESS_MAP true
26
set_module_property GROUP ao486
27
set_module_property AUTHOR ""
28
set_module_property DISPLAY_NAME ao486
29
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
30
set_module_property EDITABLE true
31
set_module_property REPORT_TO_TALKBACK false
32
set_module_property ALLOW_GREYBOX_GENERATION false
33 7 alfik
set_module_property REPORT_HIERARCHY false
34 2 alfik
 
35
 
36
# 
37
# file sets
38
# 
39
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
40
set_fileset_property QUARTUS_SYNTH TOP_LEVEL ao486
41
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
42 7 alfik
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
43 2 alfik
add_fileset_file ao486.v VERILOG PATH ao486.v TOP_LEVEL_FILE
44
add_fileset_file avalon_io.v VERILOG PATH avalon_io.v
45
add_fileset_file defines.v VERILOG PATH defines.v
46
add_fileset_file exception.v VERILOG PATH exception.v
47
add_fileset_file global_regs.v VERILOG PATH global_regs.v
48
add_fileset_file condition.v VERILOG PATH pipeline/condition.v
49
add_fileset_file decode.v VERILOG PATH pipeline/decode.v
50
add_fileset_file decode_commands.v VERILOG PATH pipeline/decode_commands.v
51
add_fileset_file decode_prefix.v VERILOG PATH pipeline/decode_prefix.v
52
add_fileset_file decode_ready.v VERILOG PATH pipeline/decode_ready.v
53
add_fileset_file decode_regs.v VERILOG PATH pipeline/decode_regs.v
54
add_fileset_file execute.v VERILOG PATH pipeline/execute.v
55
add_fileset_file execute_commands.v VERILOG PATH pipeline/execute_commands.v
56
add_fileset_file execute_divide.v VERILOG PATH pipeline/execute_divide.v
57
add_fileset_file execute_multiply.v VERILOG PATH pipeline/execute_multiply.v
58
add_fileset_file execute_offset.v VERILOG PATH pipeline/execute_offset.v
59
add_fileset_file execute_shift.v VERILOG PATH pipeline/execute_shift.v
60
add_fileset_file fetch.v VERILOG PATH pipeline/fetch.v
61
add_fileset_file microcode.v VERILOG PATH pipeline/microcode.v
62
add_fileset_file microcode_commands.v VERILOG PATH pipeline/microcode_commands.v
63
add_fileset_file pipeline.v VERILOG PATH pipeline/pipeline.v
64
add_fileset_file read.v VERILOG PATH pipeline/read.v
65
add_fileset_file read_commands.v VERILOG PATH pipeline/read_commands.v
66
add_fileset_file read_debug.v VERILOG PATH pipeline/read_debug.v
67
add_fileset_file read_effective_address.v VERILOG PATH pipeline/read_effective_address.v
68
add_fileset_file read_mutex.v VERILOG PATH pipeline/read_mutex.v
69
add_fileset_file read_segment.v VERILOG PATH pipeline/read_segment.v
70
add_fileset_file write.v VERILOG PATH pipeline/write.v
71
add_fileset_file write_commands.v VERILOG PATH pipeline/write_commands.v
72
add_fileset_file write_debug.v VERILOG PATH pipeline/write_debug.v
73
add_fileset_file write_register.v VERILOG PATH pipeline/write_register.v
74
add_fileset_file write_stack.v VERILOG PATH pipeline/write_stack.v
75
add_fileset_file write_string.v VERILOG PATH pipeline/write_string.v
76
add_fileset_file avalon_mem.v VERILOG PATH memory/avalon_mem.v
77
add_fileset_file cache_data_ram.v VERILOG PATH memory/cache_data_ram.v
78
add_fileset_file dcache.v VERILOG PATH memory/dcache.v
79
add_fileset_file dcache_control_ram.v VERILOG PATH memory/dcache_control_ram.v
80
add_fileset_file dcache_matched.v VERILOG PATH memory/dcache_matched.v
81
add_fileset_file dcache_read.v VERILOG PATH memory/dcache_read.v
82
add_fileset_file dcache_to_icache_fifo.v VERILOG PATH memory/dcache_to_icache_fifo.v
83
add_fileset_file dcache_write.v VERILOG PATH memory/dcache_write.v
84
add_fileset_file icache.v VERILOG PATH memory/icache.v
85
add_fileset_file icache_control_ram.v VERILOG PATH memory/icache_control_ram.v
86
add_fileset_file icache_matched.v VERILOG PATH memory/icache_matched.v
87
add_fileset_file icache_read.v VERILOG PATH memory/icache_read.v
88
add_fileset_file link_dcacheread.v VERILOG PATH memory/link_dcacheread.v
89
add_fileset_file link_dcachewrite.v VERILOG PATH memory/link_dcachewrite.v
90
add_fileset_file link_readburst.v VERILOG PATH memory/link_readburst.v
91
add_fileset_file link_readcode.v VERILOG PATH memory/link_readcode.v
92
add_fileset_file link_readline.v VERILOG PATH memory/link_readline.v
93
add_fileset_file link_writeburst.v VERILOG PATH memory/link_writeburst.v
94
add_fileset_file link_writeline.v VERILOG PATH memory/link_writeline.v
95
add_fileset_file memory.v VERILOG PATH memory/memory.v
96
add_fileset_file memory_read.v VERILOG PATH memory/memory_read.v
97
add_fileset_file memory_write.v VERILOG PATH memory/memory_write.v
98
add_fileset_file prefetch.v VERILOG PATH memory/prefetch.v
99
add_fileset_file prefetch_control.v VERILOG PATH memory/prefetch_control.v
100
add_fileset_file prefetch_fifo.v VERILOG PATH memory/prefetch_fifo.v
101
add_fileset_file tlb.v VERILOG PATH memory/tlb.v
102
add_fileset_file tlb_memtype.v VERILOG PATH memory/tlb_memtype.v
103
add_fileset_file tlb_regs.v VERILOG PATH memory/tlb_regs.v
104
add_fileset_file simple_fifo.v VERILOG PATH ../common/simple_fifo.v
105
add_fileset_file simple_ram.v VERILOG PATH ../common/simple_ram.v
106
 
107
 
108
# 
109
# parameters
110
# 
111
 
112
 
113
# 
114
# display items
115
# 
116
 
117
 
118
# 
119
# connection point clock
120
# 
121
add_interface clock clock end
122
set_interface_property clock clockRate 0
123
set_interface_property clock ENABLED true
124
set_interface_property clock EXPORT_OF ""
125
set_interface_property clock PORT_NAME_MAP ""
126
set_interface_property clock CMSIS_SVD_VARIABLES ""
127
set_interface_property clock SVD_ADDRESS_GROUP ""
128
 
129
add_interface_port clock clk clk Input 1
130
 
131
 
132
# 
133
# connection point reset_sink
134
# 
135
add_interface reset_sink reset end
136
set_interface_property reset_sink associatedClock clock
137
set_interface_property reset_sink synchronousEdges DEASSERT
138
set_interface_property reset_sink ENABLED true
139
set_interface_property reset_sink EXPORT_OF ""
140
set_interface_property reset_sink PORT_NAME_MAP ""
141
set_interface_property reset_sink CMSIS_SVD_VARIABLES ""
142
set_interface_property reset_sink SVD_ADDRESS_GROUP ""
143
 
144
add_interface_port reset_sink rst_n reset_n Input 1
145
 
146
 
147
# 
148
# connection point avalon_memory
149
# 
150
add_interface avalon_memory avalon start
151
set_interface_property avalon_memory addressUnits SYMBOLS
152
set_interface_property avalon_memory associatedClock clock
153
set_interface_property avalon_memory associatedReset reset_sink
154
set_interface_property avalon_memory bitsPerSymbol 8
155
set_interface_property avalon_memory burstOnBurstBoundariesOnly false
156
set_interface_property avalon_memory burstcountUnits WORDS
157
set_interface_property avalon_memory doStreamReads false
158
set_interface_property avalon_memory doStreamWrites false
159
set_interface_property avalon_memory holdTime 0
160
set_interface_property avalon_memory linewrapBursts false
161
set_interface_property avalon_memory maximumPendingReadTransactions 0
162 7 alfik
set_interface_property avalon_memory maximumPendingWriteTransactions 0
163 2 alfik
set_interface_property avalon_memory readLatency 0
164
set_interface_property avalon_memory readWaitTime 1
165
set_interface_property avalon_memory setupTime 0
166
set_interface_property avalon_memory timingUnits Cycles
167
set_interface_property avalon_memory writeWaitTime 0
168
set_interface_property avalon_memory ENABLED true
169
set_interface_property avalon_memory EXPORT_OF ""
170
set_interface_property avalon_memory PORT_NAME_MAP ""
171
set_interface_property avalon_memory CMSIS_SVD_VARIABLES ""
172
set_interface_property avalon_memory SVD_ADDRESS_GROUP ""
173
 
174
add_interface_port avalon_memory avm_address address Output 32
175
add_interface_port avalon_memory avm_writedata writedata Output 32
176
add_interface_port avalon_memory avm_byteenable byteenable Output 4
177
add_interface_port avalon_memory avm_burstcount burstcount Output 3
178
add_interface_port avalon_memory avm_write write Output 1
179
add_interface_port avalon_memory avm_read read Output 1
180
add_interface_port avalon_memory avm_waitrequest waitrequest Input 1
181
add_interface_port avalon_memory avm_readdatavalid readdatavalid Input 1
182
add_interface_port avalon_memory avm_readdata readdata Input 32
183
 
184
 
185
# 
186
# connection point interrupt
187
# 
188
add_interface interrupt conduit end
189
set_interface_property interrupt associatedClock clock
190 7 alfik
set_interface_property interrupt associatedReset ""
191 2 alfik
set_interface_property interrupt ENABLED true
192
set_interface_property interrupt EXPORT_OF ""
193
set_interface_property interrupt PORT_NAME_MAP ""
194
set_interface_property interrupt CMSIS_SVD_VARIABLES ""
195
set_interface_property interrupt SVD_ADDRESS_GROUP ""
196
 
197 7 alfik
add_interface_port interrupt interrupt_do interrupt_do Input 1
198
add_interface_port interrupt interrupt_vector interrupt_vector Input 8
199
add_interface_port interrupt interrupt_done interrupt_done Output 1
200 2 alfik
 
201
 
202
# 
203
# connection point avalon_io
204
# 
205
add_interface avalon_io avalon start
206
set_interface_property avalon_io addressUnits SYMBOLS
207
set_interface_property avalon_io associatedClock clock
208
set_interface_property avalon_io associatedReset reset_sink
209
set_interface_property avalon_io bitsPerSymbol 8
210
set_interface_property avalon_io burstOnBurstBoundariesOnly false
211
set_interface_property avalon_io burstcountUnits WORDS
212
set_interface_property avalon_io doStreamReads false
213
set_interface_property avalon_io doStreamWrites false
214
set_interface_property avalon_io holdTime 0
215
set_interface_property avalon_io linewrapBursts false
216
set_interface_property avalon_io maximumPendingReadTransactions 0
217 7 alfik
set_interface_property avalon_io maximumPendingWriteTransactions 0
218 2 alfik
set_interface_property avalon_io readLatency 0
219
set_interface_property avalon_io readWaitTime 1
220
set_interface_property avalon_io setupTime 0
221
set_interface_property avalon_io timingUnits Cycles
222
set_interface_property avalon_io writeWaitTime 0
223
set_interface_property avalon_io ENABLED true
224
set_interface_property avalon_io EXPORT_OF ""
225
set_interface_property avalon_io PORT_NAME_MAP ""
226
set_interface_property avalon_io CMSIS_SVD_VARIABLES ""
227
set_interface_property avalon_io SVD_ADDRESS_GROUP ""
228
 
229
add_interface_port avalon_io avalon_io_address address Output 16
230
add_interface_port avalon_io avalon_io_byteenable byteenable Output 4
231
add_interface_port avalon_io avalon_io_read read Output 1
232
add_interface_port avalon_io avalon_io_readdatavalid readdatavalid Input 1
233
add_interface_port avalon_io avalon_io_readdata readdata Input 32
234
add_interface_port avalon_io avalon_io_write write Output 1
235
add_interface_port avalon_io avalon_io_writedata writedata Output 32
236
add_interface_port avalon_io avalon_io_waitrequest waitrequest Input 1
237
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.