OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [soc/] [pic/] [pic_hw.tcl] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 alfik
# TCL File Generated by Component Editor 14.0
2
# Mon Aug 18 22:49:43 CEST 2014
3 2 alfik
# DO NOT MODIFY
4
 
5
 
6
# 
7
# pic "pic" v1.0
8 7 alfik
#  2014.08.18.22:49:43
9 2 alfik
# 
10
# 
11
 
12
# 
13 7 alfik
# request TCL package from ACDS 14.0
14 2 alfik
# 
15 7 alfik
package require -exact qsys 14.0
16 2 alfik
 
17
 
18
# 
19
# module pic
20
# 
21
set_module_property DESCRIPTION ""
22
set_module_property NAME pic
23
set_module_property VERSION 1.0
24
set_module_property INTERNAL false
25
set_module_property OPAQUE_ADDRESS_MAP true
26
set_module_property GROUP ao486
27
set_module_property AUTHOR ""
28
set_module_property DISPLAY_NAME pic
29
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
30
set_module_property EDITABLE true
31
set_module_property REPORT_TO_TALKBACK false
32
set_module_property ALLOW_GREYBOX_GENERATION false
33 7 alfik
set_module_property REPORT_HIERARCHY false
34 2 alfik
 
35
 
36
# 
37
# file sets
38
# 
39
add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" ""
40
set_fileset_property QUARTUS_SYNTH TOP_LEVEL pic
41
set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false
42 7 alfik
set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false
43 2 alfik
add_fileset_file pic.v VERILOG PATH pic.v TOP_LEVEL_FILE
44
 
45
 
46
# 
47
# parameters
48
# 
49
 
50
 
51
# 
52
# display items
53
# 
54
 
55
 
56
# 
57
# connection point clock
58
# 
59
add_interface clock clock end
60
set_interface_property clock clockRate 0
61
set_interface_property clock ENABLED true
62
set_interface_property clock EXPORT_OF ""
63
set_interface_property clock PORT_NAME_MAP ""
64
set_interface_property clock CMSIS_SVD_VARIABLES ""
65
set_interface_property clock SVD_ADDRESS_GROUP ""
66
 
67
add_interface_port clock clk clk Input 1
68
 
69
 
70
# 
71
# connection point master
72
# 
73
add_interface master avalon end
74
set_interface_property master addressUnits WORDS
75
set_interface_property master associatedClock clock
76
set_interface_property master associatedReset reset_sink
77
set_interface_property master bitsPerSymbol 8
78
set_interface_property master burstOnBurstBoundariesOnly false
79
set_interface_property master burstcountUnits WORDS
80
set_interface_property master explicitAddressSpan 0
81
set_interface_property master holdTime 0
82
set_interface_property master linewrapBursts false
83
set_interface_property master maximumPendingReadTransactions 0
84 7 alfik
set_interface_property master maximumPendingWriteTransactions 0
85 2 alfik
set_interface_property master readLatency 0
86
set_interface_property master readWaitTime 1
87
set_interface_property master setupTime 0
88
set_interface_property master timingUnits Cycles
89
set_interface_property master writeWaitTime 0
90
set_interface_property master ENABLED true
91
set_interface_property master EXPORT_OF ""
92
set_interface_property master PORT_NAME_MAP ""
93
set_interface_property master CMSIS_SVD_VARIABLES ""
94
set_interface_property master SVD_ADDRESS_GROUP ""
95
 
96
add_interface_port master master_address address Input 1
97
add_interface_port master master_read read Input 1
98
add_interface_port master master_readdata readdata Output 8
99
add_interface_port master master_write write Input 1
100
add_interface_port master master_writedata writedata Input 8
101
set_interface_assignment master embeddedsw.configuration.isFlash 0
102
set_interface_assignment master embeddedsw.configuration.isMemoryDevice 0
103
set_interface_assignment master embeddedsw.configuration.isNonVolatileStorage 0
104
set_interface_assignment master embeddedsw.configuration.isPrintableDevice 0
105
 
106
 
107
# 
108
# connection point slave
109
# 
110
add_interface slave avalon end
111
set_interface_property slave addressUnits WORDS
112
set_interface_property slave associatedClock clock
113
set_interface_property slave associatedReset reset_sink
114
set_interface_property slave bitsPerSymbol 8
115
set_interface_property slave burstOnBurstBoundariesOnly false
116
set_interface_property slave burstcountUnits WORDS
117
set_interface_property slave explicitAddressSpan 0
118
set_interface_property slave holdTime 0
119
set_interface_property slave linewrapBursts false
120
set_interface_property slave maximumPendingReadTransactions 0
121 7 alfik
set_interface_property slave maximumPendingWriteTransactions 0
122 2 alfik
set_interface_property slave readLatency 0
123
set_interface_property slave readWaitTime 1
124
set_interface_property slave setupTime 0
125
set_interface_property slave timingUnits Cycles
126
set_interface_property slave writeWaitTime 0
127
set_interface_property slave ENABLED true
128
set_interface_property slave EXPORT_OF ""
129
set_interface_property slave PORT_NAME_MAP ""
130
set_interface_property slave CMSIS_SVD_VARIABLES ""
131
set_interface_property slave SVD_ADDRESS_GROUP ""
132
 
133
add_interface_port slave slave_address address Input 1
134
add_interface_port slave slave_read read Input 1
135
add_interface_port slave slave_readdata readdata Output 8
136
add_interface_port slave slave_write write Input 1
137
add_interface_port slave slave_writedata writedata Input 8
138
set_interface_assignment slave embeddedsw.configuration.isFlash 0
139
set_interface_assignment slave embeddedsw.configuration.isMemoryDevice 0
140
set_interface_assignment slave embeddedsw.configuration.isNonVolatileStorage 0
141
set_interface_assignment slave embeddedsw.configuration.isPrintableDevice 0
142
 
143
 
144
# 
145
# connection point reset_sink
146
# 
147
add_interface reset_sink reset end
148
set_interface_property reset_sink associatedClock clock
149
set_interface_property reset_sink synchronousEdges DEASSERT
150
set_interface_property reset_sink ENABLED true
151
set_interface_property reset_sink EXPORT_OF ""
152
set_interface_property reset_sink PORT_NAME_MAP ""
153
set_interface_property reset_sink CMSIS_SVD_VARIABLES ""
154
set_interface_property reset_sink SVD_ADDRESS_GROUP ""
155
 
156
add_interface_port reset_sink rst_n reset_n Input 1
157
 
158
 
159
# 
160
# connection point conduit_interrupt
161
# 
162
add_interface conduit_interrupt conduit end
163
set_interface_property conduit_interrupt associatedClock clock
164 7 alfik
set_interface_property conduit_interrupt associatedReset ""
165 2 alfik
set_interface_property conduit_interrupt ENABLED true
166
set_interface_property conduit_interrupt EXPORT_OF ""
167
set_interface_property conduit_interrupt PORT_NAME_MAP ""
168
set_interface_property conduit_interrupt CMSIS_SVD_VARIABLES ""
169
set_interface_property conduit_interrupt SVD_ADDRESS_GROUP ""
170
 
171 7 alfik
add_interface_port conduit_interrupt interrupt_vector interrupt_vector Output 8
172
add_interface_port conduit_interrupt interrupt_done interrupt_done Input 1
173
add_interface_port conduit_interrupt interrupt_do interrupt_do Output 1
174 2 alfik
 
175
 
176
# 
177
# connection point interrupt_receiver
178
# 
179
add_interface interrupt_receiver interrupt start
180
set_interface_property interrupt_receiver associatedAddressablePoint ""
181
set_interface_property interrupt_receiver associatedClock clock
182
set_interface_property interrupt_receiver associatedReset reset_sink
183
set_interface_property interrupt_receiver irqScheme INDIVIDUAL_REQUESTS
184
set_interface_property interrupt_receiver ENABLED true
185
set_interface_property interrupt_receiver EXPORT_OF ""
186
set_interface_property interrupt_receiver PORT_NAME_MAP ""
187
set_interface_property interrupt_receiver CMSIS_SVD_VARIABLES ""
188
set_interface_property interrupt_receiver SVD_ADDRESS_GROUP ""
189
 
190
add_interface_port interrupt_receiver interrupt_input irq Input 16
191
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.