OpenCores
URL https://opencores.org/ocsvn/ao68000/ao68000/trunk

Subversion Repositories ao68000

[/] [ao68000/] [trunk/] [tests/] [soc_for_linux_on_terasic_de2_70/] [verilog/] [early_boot.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 alfik
/*
2
 * Copyright 2010, Aleksander Osman, alfik@poczta.fm. All rights reserved.
3
 *
4
 * Redistribution and use in source and binary forms, with or without modification, are
5
 * permitted provided that the following conditions are met:
6
 *
7
 *  1. Redistributions of source code must retain the above copyright notice, this list of
8
 *     conditions and the following disclaimer.
9
 *
10
 *  2. Redistributions in binary form must reproduce the above copyright notice, this list
11
 *     of conditions and the following disclaimer in the documentation and/or other materials
12
 *     provided with the distribution.
13
 *
14
 * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR IMPLIED
15
 * WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND
16
 * FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR
17
 * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
18
 * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
19
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
20
 * ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
21
 * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
22
 * ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
 */
24
 
25
module early_boot(
26
        input CLK_I,
27
        input RST_I,
28
 
29
        output reg CYC_O,
30
        output reg [31:0] DAT_O,
31
        output reg STB_O,
32
        output reg WE_O,
33
        output reg [31:2] ADR_O,
34
        output [3:0] SEL_O,
35
 
36
        input [31:0] DAT_I,
37
        input ACK_I,
38
        input ERR_I,
39
        input RTY_I,
40
 
41
        //****************** OTHER
42
        //finished loading
43
        output loading_finished_o
44
);
45
assign SEL_O = 4'b1111;
46
 
47
assign loading_finished_o = (state == S_FINISHED) ? 1'b1 : 1'b0;
48
 
49
reg [3:0] state;
50
reg [9:0] wait_counter;
51
 
52
parameter [3:0]
53
        S_CHECK_STATUS          = 4'd0,
54
        S_CHECK_STATUS_2        = 4'd1,
55
        S_CHECK_STATUS_3        = 4'd2,
56
        S_SET_SIZE                      = 4'd3,
57
        S_SET_SIZE_2            = 4'd4,
58
        S_SET_CONTROL           = 4'd5,
59
        S_SET_CONTROL_2         = 4'd6,
60
        S_CHECK_FINISHED        = 4'd7,
61
        S_CHECK_FINISHED_2      = 4'd8,
62
        S_CHECK_FINISHED_3      = 4'd9,
63
        S_FINISHED                      = 4'd10;
64
 
65
always @(posedge CLK_I) begin
66
        if(RST_I == 1'b1) begin
67
                CYC_O <= 1'b0;
68
                DAT_O <= 32'd0;
69
                STB_O <= 1'b0;
70
                WE_O <= 1'b0;
71
                ADR_O <= 30'd0;
72
                state <= S_CHECK_STATUS;
73
                wait_counter <= 10'd0;
74
        end
75
        else if(state == S_CHECK_STATUS) begin
76
                CYC_O <= 1'b1;
77
                DAT_O <= 32'd0;
78
                STB_O <= 1'b1;
79
                WE_O <= 1'b0;
80
                ADR_O <= 30'h30000000;
81
 
82
                state <= S_CHECK_STATUS_2;
83
        end
84
        else if(state == S_CHECK_STATUS_2) begin
85
                if(ACK_I == 1'b1) begin
86
                        CYC_O <= 1'b0;
87
                        STB_O <= 1'b0;
88
 
89
                        if(DAT_I == 32'd2) begin
90
                                state <= S_SET_SIZE;
91
                        end
92
                        else begin
93
                                state <= S_CHECK_STATUS_3;
94
                        end
95
                end
96
        end
97
        else if(state == S_CHECK_STATUS_3) begin
98
                if(wait_counter == 10'd1023) begin
99
                        wait_counter <= 10'd0;
100
                        state <= S_CHECK_STATUS;
101
                end
102
                else wait_counter <= wait_counter + 10'd1;
103
        end
104
        else if(state == S_SET_SIZE) begin
105
                CYC_O <= 1'b1;
106
                DAT_O <= 32'd2048;
107
                STB_O <= 1'b1;
108
                WE_O <= 1'b1;
109
                ADR_O <= 30'h30000002;
110
 
111
                state <= S_SET_SIZE_2;
112
        end
113
        else if(state == S_SET_SIZE_2) begin
114
                if(ACK_I == 1'b1) begin
115
                        CYC_O <= 1'b0;
116
                        STB_O <= 1'b0;
117
 
118
                        state <= S_SET_CONTROL;
119
                end
120
        end
121
        else if(state == S_SET_CONTROL) begin
122
                CYC_O <= 1'b1;
123
                DAT_O <= 32'd2;
124
                STB_O <= 1'b1;
125
                WE_O <= 1'b1;
126
                ADR_O <= 30'h30000003;
127
 
128
                state <= S_SET_CONTROL_2;
129
        end
130
        else if(state == S_SET_CONTROL_2) begin
131
                if(ACK_I == 1'b1) begin
132
                        CYC_O <= 1'b0;
133
                        STB_O <= 1'b0;
134
 
135
                        state <= S_CHECK_FINISHED;
136
                end
137
        end
138
        else if(state == S_CHECK_FINISHED) begin
139
                CYC_O <= 1'b1;
140
                DAT_O <= 32'd0;
141
                STB_O <= 1'b1;
142
                WE_O <= 1'b0;
143
                ADR_O <= 30'h30000000;
144
 
145
                state <= S_CHECK_FINISHED_2;
146
        end
147
        else if(state == S_CHECK_FINISHED_2) begin
148
                if(ACK_I == 1'b1) begin
149
                        CYC_O <= 1'b0;
150
                        STB_O <= 1'b0;
151
 
152
                        if(DAT_I == 32'd2) begin //idle
153
                                state <= S_FINISHED;
154
                        end
155
                        else begin
156
                                state <= S_CHECK_FINISHED_3;
157
                        end
158
                end
159
        end
160
        else if(state == S_CHECK_FINISHED_3) begin
161
                if(wait_counter == 10'd1023) begin
162
                        wait_counter <= 10'd0;
163
                        state <= S_CHECK_FINISHED;
164
                end
165
                else wait_counter <= wait_counter + 10'd1;
166
        end
167
        else if(state == S_FINISHED) begin
168
        end
169
 
170
end
171
 
172
endmodule
173
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.