OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [syn/] [terasic_de2_70/] [aoOCS.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2009 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 222 10/21/2009 SJ Web Edition
21
# Date created = 19:59:37  July 13, 2010
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               aoOCS_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C70F896C6
41
set_global_assignment -name TOP_LEVEL_ENTITY aoOCS
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:59:37  JULY 13, 2010"
44
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
45
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
46
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
47
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 896
48
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
49
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
52
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
53
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
54
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
55
set_location_assignment PIN_R3 -to clk_50
56
set_location_assignment PIN_AA23 -to reset_ext_n
57
set_location_assignment PIN_T26 -to sd_clk_o
58
set_location_assignment PIN_W29 -to sd_dat_io
59
set_location_assignment PIN_W28 -to sd_cmd_io
60
set_location_assignment PIN_AJ6 -to debug_sd[0]
61
set_location_assignment PIN_AK5 -to debug_sd[1]
62
set_location_assignment PIN_AJ5 -to debug_sd[2]
63
set_location_assignment PIN_AJ4 -to debug_sd[3]
64
set_location_assignment PIN_AK3 -to debug_sd[4]
65
set_location_assignment PIN_AH4 -to debug_sd[5]
66
set_location_assignment PIN_AJ3 -to debug_sd[6]
67
set_location_assignment PIN_AJ2 -to debug_sd[7]
68
set_location_assignment PIN_AB26 -to debug_sw1_pc
69
set_location_assignment PIN_AB25 -to debug_sw2_adr
70
set_location_assignment PIN_AC27 -to debug_sw3_halt
71
set_location_assignment PIN_AC13 -to debug_68k_state[0]
72
set_location_assignment PIN_AB13 -to debug_68k_state[1]
73
set_location_assignment PIN_AC12 -to debug_68k_state[2]
74
set_location_assignment PIN_AB12 -to debug_68k_state[3]
75
set_location_assignment PIN_AC11 -to debug_68k_state[4]
76
set_location_assignment PIN_AD9 -to debug_68k_state[5]
77
set_location_assignment PIN_AD8 -to debug_68k_state[6]
78
set_location_assignment PIN_AJ7 -to debug_68k_state[7]
79
set_location_assignment PIN_W27 -to debug_floppy[0]
80
set_location_assignment PIN_W25 -to debug_floppy[1]
81
set_location_assignment PIN_W23 -to debug_floppy[2]
82
set_location_assignment PIN_Y27 -to debug_floppy[3]
83
set_location_assignment PIN_Y24 -to debug_floppy[4]
84
set_location_assignment PIN_Y23 -to debug_floppy[5]
85
set_location_assignment PIN_AA27 -to debug_floppy[6]
86
set_location_assignment PIN_AA24 -to debug_floppy[7]
87
set_location_assignment PIN_D27 -to enet_clk_25
88
set_location_assignment PIN_B27 -to enet_cmd
89
set_location_assignment PIN_C28 -to enet_cs_n
90
set_location_assignment PIN_A23 -to enet_data[0]
91
set_location_assignment PIN_C22 -to enet_data[1]
92
set_location_assignment PIN_B25 -to enet_data[10]
93
set_location_assignment PIN_A25 -to enet_data[11]
94
set_location_assignment PIN_C24 -to enet_data[12]
95
set_location_assignment PIN_B24 -to enet_data[13]
96
set_location_assignment PIN_A24 -to enet_data[14]
97
set_location_assignment PIN_B23 -to enet_data[15]
98
set_location_assignment PIN_B22 -to enet_data[2]
99
set_location_assignment PIN_A22 -to enet_data[3]
100
set_location_assignment PIN_B21 -to enet_data[4]
101
set_location_assignment PIN_A21 -to enet_data[5]
102
set_location_assignment PIN_B20 -to enet_data[6]
103
set_location_assignment PIN_A20 -to enet_data[7]
104
set_location_assignment PIN_B26 -to enet_data[8]
105
set_location_assignment PIN_A26 -to enet_data[9]
106
set_location_assignment PIN_C27 -to enet_irq
107
set_location_assignment PIN_A28 -to enet_ior_n
108
set_location_assignment PIN_B28 -to enet_iow_n
109
set_location_assignment PIN_B29 -to enet_reset_n
110
set_location_assignment PIN_B16 -to vga_b[0]
111
set_location_assignment PIN_C16 -to vga_b[1]
112
set_location_assignment PIN_A17 -to vga_b[2]
113
set_location_assignment PIN_B17 -to vga_b[3]
114
set_location_assignment PIN_C18 -to vga_b[4]
115
set_location_assignment PIN_B18 -to vga_b[5]
116
set_location_assignment PIN_B19 -to vga_b[6]
117
set_location_assignment PIN_A19 -to vga_b[7]
118
set_location_assignment PIN_C19 -to vga_b[8]
119
set_location_assignment PIN_D19 -to vga_b[9]
120
set_location_assignment PIN_C15 -to vga_blank_n
121
set_location_assignment PIN_D24 -to vga_clock
122
set_location_assignment PIN_A10 -to vga_g[0]
123
set_location_assignment PIN_B11 -to vga_g[1]
124
set_location_assignment PIN_A11 -to vga_g[2]
125
set_location_assignment PIN_C12 -to vga_g[3]
126
set_location_assignment PIN_B12 -to vga_g[4]
127
set_location_assignment PIN_A12 -to vga_g[5]
128
set_location_assignment PIN_C13 -to vga_g[6]
129
set_location_assignment PIN_B13 -to vga_g[7]
130
set_location_assignment PIN_B14 -to vga_g[8]
131
set_location_assignment PIN_A14 -to vga_g[9]
132
set_location_assignment PIN_J19 -to vga_hsync
133
set_location_assignment PIN_D23 -to vga_r[0]
134
set_location_assignment PIN_E23 -to vga_r[1]
135
set_location_assignment PIN_E22 -to vga_r[2]
136
set_location_assignment PIN_D22 -to vga_r[3]
137
set_location_assignment PIN_H21 -to vga_r[4]
138
set_location_assignment PIN_G21 -to vga_r[5]
139
set_location_assignment PIN_H20 -to vga_r[6]
140
set_location_assignment PIN_F20 -to vga_r[7]
141
set_location_assignment PIN_E20 -to vga_r[8]
142
set_location_assignment PIN_G20 -to vga_r[9]
143
set_location_assignment PIN_B15 -to vga_sync_n
144
set_location_assignment PIN_H19 -to vga_vsync
145
set_location_assignment PIN_AG8 -to ssram_address[0]
146
set_location_assignment PIN_AF8 -to ssram_address[1]
147
set_location_assignment PIN_AF14 -to ssram_address[10]
148
set_location_assignment PIN_AG14 -to ssram_address[11]
149
set_location_assignment PIN_AE15 -to ssram_address[12]
150
set_location_assignment PIN_AF15 -to ssram_address[13]
151
set_location_assignment PIN_AC16 -to ssram_address[14]
152
set_location_assignment PIN_AF20 -to ssram_address[15]
153
set_location_assignment PIN_AG20 -to ssram_address[16]
154
set_location_assignment PIN_AE11 -to ssram_address[17]
155
set_location_assignment PIN_AF11 -to ssram_address[18]
156
#set_location_assignment PIN_AG10 -to ssram_address[19]
157
set_location_assignment PIN_AH7 -to ssram_address[2]
158
#set_location_assignment PIN_AG9 -to ssram_address[20]
159
set_location_assignment PIN_AG7 -to ssram_address[3]
160
set_location_assignment PIN_AG6 -to ssram_address[4]
161
set_location_assignment PIN_AG5 -to ssram_address[5]
162
set_location_assignment PIN_AE12 -to ssram_address[6]
163
set_location_assignment PIN_AG12 -to ssram_address[7]
164
set_location_assignment PIN_AD13 -to ssram_address[8]
165
set_location_assignment PIN_AE13 -to ssram_address[9]
166
set_location_assignment PIN_AG17 -to ssram_adsc_n
167
set_location_assignment PIN_AC18 -to ssram_adsp_n
168
set_location_assignment PIN_AD16 -to ssram_advance_n
169
set_location_assignment PIN_AC21 -to ssram_byteen_n[0]
170
set_location_assignment PIN_AC20 -to ssram_byteen_n[1]
171
set_location_assignment PIN_AD20 -to ssram_byteen_n[2]
172
set_location_assignment PIN_AH20 -to ssram_byteen_n[3]
173
set_location_assignment PIN_AH19 -to ssram_ce1_n
174
set_location_assignment PIN_AG19 -to ssram_ce2
175
set_location_assignment PIN_AD22 -to ssram_ce3_n
176
set_location_assignment PIN_AD7 -to ssram_clk
177
set_location_assignment PIN_AK9 -to ssram_data[32]
178
set_location_assignment PIN_AJ23 -to ssram_data[33]
179
set_location_assignment PIN_AK20 -to ssram_data[34]
180
set_location_assignment PIN_AJ9 -to ssram_data[35]
181
set_location_assignment PIN_AH10 -to ssram_data[0]
182
set_location_assignment PIN_AJ10 -to ssram_data[1]
183
set_location_assignment PIN_AH17 -to ssram_data[10]
184
set_location_assignment PIN_AJ18 -to ssram_data[11]
185
set_location_assignment PIN_AH18 -to ssram_data[12]
186
set_location_assignment PIN_AK19 -to ssram_data[13]
187
set_location_assignment PIN_AJ19 -to ssram_data[14]
188
set_location_assignment PIN_AK23 -to ssram_data[15]
189
set_location_assignment PIN_AJ20 -to ssram_data[16]
190
set_location_assignment PIN_AK21 -to ssram_data[17]
191
set_location_assignment PIN_AJ21 -to ssram_data[18]
192
set_location_assignment PIN_AK22 -to ssram_data[19]
193
set_location_assignment PIN_AK10 -to ssram_data[2]
194
set_location_assignment PIN_AJ22 -to ssram_data[20]
195
set_location_assignment PIN_AH15 -to ssram_data[21]
196
set_location_assignment PIN_AJ15 -to ssram_data[22]
197
set_location_assignment PIN_AJ16 -to ssram_data[23]
198
set_location_assignment PIN_AK14 -to ssram_data[24]
199
set_location_assignment PIN_AJ14 -to ssram_data[25]
200
set_location_assignment PIN_AJ13 -to ssram_data[26]
201
set_location_assignment PIN_AH13 -to ssram_data[27]
202
set_location_assignment PIN_AK12 -to ssram_data[28]
203
set_location_assignment PIN_AK7 -to ssram_data[29]
204
set_location_assignment PIN_AJ11 -to ssram_data[3]
205
set_location_assignment PIN_AJ8 -to ssram_data[30]
206
set_location_assignment PIN_AK8 -to ssram_data[31]
207
set_location_assignment PIN_AK11 -to ssram_data[4]
208
set_location_assignment PIN_AH12 -to ssram_data[5]
209
set_location_assignment PIN_AJ12 -to ssram_data[6]
210
set_location_assignment PIN_AH16 -to ssram_data[7]
211
set_location_assignment PIN_AK17 -to ssram_data[8]
212
set_location_assignment PIN_AJ17 -to ssram_data[9]
213
set_location_assignment PIN_AG18 -to ssram_globalw_n
214
set_location_assignment PIN_AD18 -to ssram_oe_n
215
set_location_assignment PIN_AF18 -to ssram_writeen_n
216
set_location_assignment PIN_AE8 -to hex0[0]
217
set_location_assignment PIN_AF9 -to hex0[1]
218
set_location_assignment PIN_AH9 -to hex0[2]
219
set_location_assignment PIN_AD10 -to hex0[3]
220
set_location_assignment PIN_AF10 -to hex0[4]
221
set_location_assignment PIN_AD11 -to hex0[5]
222
set_location_assignment PIN_AD12 -to hex0[6]
223
set_location_assignment PIN_AF12 -to hex0[7]
224
set_location_assignment PIN_AG13 -to hex1[0]
225
set_location_assignment PIN_AE16 -to hex1[1]
226
set_location_assignment PIN_AF16 -to hex1[2]
227
set_location_assignment PIN_AG16 -to hex1[3]
228
set_location_assignment PIN_AE17 -to hex1[4]
229
set_location_assignment PIN_AF17 -to hex1[5]
230
set_location_assignment PIN_AD17 -to hex1[6]
231
set_location_assignment PIN_AC17 -to hex1[7]
232
set_location_assignment PIN_AE7 -to hex2[0]
233
set_location_assignment PIN_AF7 -to hex2[1]
234
set_location_assignment PIN_AH5 -to hex2[2]
235
set_location_assignment PIN_AG4 -to hex2[3]
236
set_location_assignment PIN_AB18 -to hex2[4]
237
set_location_assignment PIN_AB19 -to hex2[5]
238
set_location_assignment PIN_AE19 -to hex2[6]
239
set_location_assignment PIN_AC19 -to hex2[7]
240
set_location_assignment PIN_P6 -to hex3[0]
241
set_location_assignment PIN_P4 -to hex3[1]
242
set_location_assignment PIN_N10 -to hex3[2]
243
set_location_assignment PIN_N7 -to hex3[3]
244
set_location_assignment PIN_M8 -to hex3[4]
245
set_location_assignment PIN_M7 -to hex3[5]
246
set_location_assignment PIN_M6 -to hex3[6]
247
set_location_assignment PIN_M4 -to hex3[7]
248
set_location_assignment PIN_P1 -to hex4[0]
249
set_location_assignment PIN_P2 -to hex4[1]
250
set_location_assignment PIN_P3 -to hex4[2]
251
set_location_assignment PIN_N2 -to hex4[3]
252
set_location_assignment PIN_N3 -to hex4[4]
253
set_location_assignment PIN_M1 -to hex4[5]
254
set_location_assignment PIN_M2 -to hex4[6]
255
set_location_assignment PIN_L6 -to hex4[7]
256
set_location_assignment PIN_M3 -to hex5[0]
257
set_location_assignment PIN_L1 -to hex5[1]
258
set_location_assignment PIN_L2 -to hex5[2]
259
set_location_assignment PIN_L3 -to hex5[3]
260
set_location_assignment PIN_K1 -to hex5[4]
261
set_location_assignment PIN_K4 -to hex5[5]
262
set_location_assignment PIN_K5 -to hex5[6]
263
set_location_assignment PIN_K6 -to hex5[7]
264
set_location_assignment PIN_H6 -to hex6[0]
265
set_location_assignment PIN_H4 -to hex6[1]
266
set_location_assignment PIN_H7 -to hex6[2]
267
set_location_assignment PIN_H8 -to hex6[3]
268
set_location_assignment PIN_G4 -to hex6[4]
269
set_location_assignment PIN_F4 -to hex6[5]
270
set_location_assignment PIN_E4 -to hex6[6]
271
set_location_assignment PIN_K2 -to hex6[7]
272
set_location_assignment PIN_K3 -to hex7[0]
273
set_location_assignment PIN_J1 -to hex7[1]
274
set_location_assignment PIN_J2 -to hex7[2]
275
set_location_assignment PIN_H1 -to hex7[3]
276
set_location_assignment PIN_H2 -to hex7[4]
277
set_location_assignment PIN_H3 -to hex7[5]
278
set_location_assignment PIN_G1 -to hex7[6]
279
set_location_assignment PIN_G2 -to hex7[7]
280
set_location_assignment PIN_E24 -to ps2_kbdat
281
set_location_assignment PIN_F24 -to ps2_kbclk
282
set_location_assignment PIN_D25 -to ps2_mousedat
283
set_location_assignment PIN_D26 -to ps2_mouseclk
284
set_location_assignment PIN_J18 -to ac_sclk
285
set_location_assignment PIN_H18 -to ac_sdat
286
set_location_assignment PIN_D17 -to ac_xclk
287
set_location_assignment PIN_E17 -to ac_bclk
288
set_location_assignment PIN_F18 -to ac_dat
289
set_location_assignment PIN_G18 -to ac_lr
290
 
291
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
292
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
293
set_global_assignment -name SEED 1
294
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0
295
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
296
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
297
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
298
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
299
 
300
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "bus_sd:bus_sd_inst|debug_ram:debug_ram_inst|altsyncram:altsyncram_component"
301
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ao68000:ao68000_inst|memory_registers:memory_registers_m|register_ram:an_ram|altsyncram:ram"
302
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ao68000:ao68000_inst|memory_registers:memory_registers_m|register_ram:dn_ram|altsyncram:ram"
303
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ao68000:ao68000_inst|memory_registers:memory_registers_m|register_ram:an_ram|altsyncram:debug_ram_inst"
304
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ao68000:ao68000_inst|memory_registers:memory_registers_m|register_ram:dn_ram|altsyncram:debug_ram_inst"
305
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "drv_vga:drv_vga_inst|altsyncram:display_ram_inst"
306
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_floppy:ocs_floppy_inst|altsyncram:buffer_ram_inst"
307
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|priority:priority_inst|altsyncram:debug_ram_inst"
308
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "drv_vga:drv_vga_inst|altsyncram:debug_ram_inst"
309
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "bus_ssram:bus_ssram_inst|altsyncram:debug_ram_inst"
310
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite0_inst|altsyncram:debug_ram_inst"
311
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite1_inst|altsyncram:debug_ram_inst"
312
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite2_inst|altsyncram:debug_ram_inst"
313
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite3_inst|altsyncram:debug_ram_inst"
314
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite4_inst|altsyncram:debug_ram_inst"
315
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite5_inst|altsyncram:debug_ram_inst"
316
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite6_inst|altsyncram:debug_ram_inst"
317
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|sprite:sprite7_inst|altsyncram:debug_ram_inst"
318
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_1|altsyncram:debug_ram_inst"
319
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_2|altsyncram:debug_ram_inst"
320
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_3|altsyncram:debug_ram_inst"
321
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_4|altsyncram:debug_ram_inst"
322
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_5|altsyncram:debug_ram_inst"
323
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_video:ocs_video_inst|bitplains:bitplains_inst|bitplain:bitplain_6|altsyncram:debug_ram_inst"
324
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "altsyncram:debug_ram_inst"
325
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_blitter:ocs_blitter_inst|altsyncram:debug_ram_inst"
326
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_copper:ocs_copper_inst|altsyncram:debug_ram_inst"
327
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_floppy:ocs_floppy_inst|altsyncram:debug_ram_inst"
328
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "bus_sd:bus_sd_inst|altsyncram:debug_ram_inst"
329
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "cia8520:cia8520_a_inst|altsyncram:debug_ram_inst"
330
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "cia8520:cia8520_b_inst|altsyncram:debug_ram_inst"
331
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "drv_keyboard:drv_keyboard_inst|altsyncram:debug_ram_inst"
332
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_audio:ocs_audio_inst|sound_channel:sound_channel_0|altsyncram:debug_ram_inst"
333
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_audio:ocs_audio_inst|sound_channel:sound_channel_1|altsyncram:debug_ram_inst"
334
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_audio:ocs_audio_inst|sound_channel:sound_channel_2|altsyncram:debug_ram_inst"
335
set_parameter -name CYCLONEII_SAFE_WRITE "\"VERIFIED_SAFE\"" -to "ocs_audio:ocs_audio_inst|sound_channel:sound_channel_3|altsyncram:debug_ram_inst"
336
 
337
set_global_assignment -name SDC_FILE aoOCS.sdc
338
set_global_assignment -name VERILOG_FILE ocs_video.v
339
set_global_assignment -name VERILOG_FILE ocs_control.v
340
set_global_assignment -name VERILOG_FILE ocs_blitter.v
341
set_global_assignment -name VERILOG_FILE ocs_copper.v
342
set_global_assignment -name VERILOG_FILE ocs_serial.v
343
set_global_assignment -name VERILOG_FILE ocs_floppy.v
344
set_global_assignment -name VERILOG_FILE ocs_input.v
345
set_global_assignment -name VERILOG_FILE ocs_audio.v
346
set_global_assignment -name VERILOG_FILE cia8520.v
347
set_global_assignment -name VERILOG_FILE ao68000.v
348
set_global_assignment -name VERILOG_FILE drv_audio.v
349
set_global_assignment -name VERILOG_FILE drv_keyboard.v
350
set_global_assignment -name VERILOG_FILE drv_mouse.v
351
set_global_assignment -name VERILOG_FILE aoOCS.v
352
set_global_assignment -name VERILOG_FILE drv_debug.v
353
set_global_assignment -name VERILOG_FILE control_osd.v
354
set_global_assignment -name VERILOG_FILE bus_terminator.v
355
set_global_assignment -name VERILOG_FILE bus_ssram.v
356
set_global_assignment -name VERILOG_FILE bus_sd.v
357
set_global_assignment -name VERILOG_FILE bus_syscon.v
358
set_global_assignment -name VERILOG_FILE drv_vga.v
359
set_global_assignment -name VERILOG_FILE drv_eth_vga_capture.v
360
 
361
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
362
set_global_assignment -name MISC_FILE /home/alek/aktualne/aoOCS/aoOCS/aoOCS.dpf
363
 
364
set_global_assignment -name SMART_RECOMPILE ON
365
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
366
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
367
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
368
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.