OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [tests/] [ethernet_test/] [tb_ethernet.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
`timescale 10ns / 1ns
2
 
3
module tb_ocs_floppy();
4
 
5
reg clk_50;
6
 
7
ethernet ethernet_inst(
8
    .clk_50(clk_50),
9
    .reset_ext_n(1'b1),
10
 
11
    .enet_clk_25(),
12
    .enet_reset_n(),
13
    .enet_cs_n(),
14
 
15
    .enet_irq(1'b0),
16
 
17
    .enet_ior_n(),
18
    .enet_iow_n(),
19
    .enet_cmd(),
20
 
21
    .enet_data(),
22
 
23
    .key(1'b0),
24
    .leds()
25
);
26
 
27
initial begin
28
    clk_50 = 1'b0;
29
    forever #5 clk_50 = ~clk_50;
30
end
31
 
32
initial begin
33
    $dumpfile("tb_ethernet.vcd");
34
    $dumpvars(0);
35
    $dumpon();
36
 
37
    #10000
38
 
39
    $finish();
40
end
41
 
42
endmodule
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.